diff --git a/PCB/LORA_ATTINY_v3.kicad_pcb b/PCB/LORA_ATTINY_v3.kicad_pcb index eece6c8..8b2abf8 100644 --- a/PCB/LORA_ATTINY_v3.kicad_pcb +++ b/PCB/LORA_ATTINY_v3.kicad_pcb @@ -2,8 +2,8 @@ (general (thickness 1.6) - (drawings 4) - (tracks 182) + (drawings 5) + (tracks 191) (modules 12) (nets 29) ) @@ -61,12 +61,12 @@ (dimension_units 0) (dimension_precision 1) ) - (pad_size 1.524 1.524) - (pad_drill 0.762) + (pad_size 1 1) + (pad_drill 0) (pad_to_mask_clearance 0.051) (solder_mask_min_width 0.25) (aux_axis_origin 0 0) - (visible_elements FFFDF7FF) + (visible_elements FFFFFFFF) (pcbplotparams (layerselection 0x010fc_ffffffff) (usegerberextensions false) @@ -169,30 +169,30 @@ (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row") (tags "Through hole pin header THT 1x04 2.54mm single row") (path "/00000000-0000-0000-0000-00005ea220ba") - (fp_text reference "J2" (at 0.254 10.414 90) (layer "F.SilkS") + (fp_text reference "J2" (at -2.1336 -0.1016 180) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "Conn_01x04_Male-Connector" (at 0 9.95 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1)) - (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer "F.Fab") (width 0.1)) - (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer "F.SilkS") (width 0.12)) - (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05)) (fp_text user "${REFERENCE}" (at 0 3.81) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer "F.Fab") (width 0.1)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1)) (pad "4" thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (net 11 "PC3") (pinfunction "Pin_4") (tstamp 1f42ee8c-0349-4eda-bdb0-93c11aac5542)) (pad "3" thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) @@ -213,30 +213,30 @@ (descr "Through hole straight pin header, 1x09, 2.54mm pitch, single row") (tags "Through hole pin header THT 1x09 2.54mm single row") (path "/00000000-0000-0000-0000-00005ea21977") - (fp_text reference "J1" (at 0 -2.33) (layer "F.SilkS") + (fp_text reference "J1" (at 2.3876 -0.4826) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "Conn_01x09_Male-Connector" (at 0 22.65) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1)) - (fp_line (start 1.27 -1.27) (end 1.27 21.59) (layer "F.Fab") (width 0.1)) - (fp_line (start 1.27 21.59) (end -1.27 21.59) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.27 21.59) (end -1.27 -0.635) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.33 21.65) (end 1.33 21.65) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 1.27) (end -1.33 21.65) (layer "F.SilkS") (width 0.12)) - (fp_line (start 1.33 1.27) (end 1.33 21.65) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.8 -1.8) (end -1.8 22.1) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -1.8 22.1) (end 1.8 22.1) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 1.8 22.1) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05)) (fp_text user "${REFERENCE}" (at 0 10.16 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 1.8 22.1) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -1.8 22.1) (end 1.8 22.1) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -1.8 -1.8) (end -1.8 22.1) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 21.65) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 21.65) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 21.65) (end 1.33 21.65) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.27 21.59) (end -1.27 -0.635) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.27 21.59) (end -1.27 21.59) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 21.59) (layer "F.Fab") (width 0.1)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1)) (pad "9" thru_hole oval (at 0 20.32) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (net 7 "PB1") (pinfunction "Pin_9") (tstamp bd913765-c83f-439b-b852-dd4bef4e5a1a)) (pad "8" thru_hole oval (at 0 17.78) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) @@ -268,70 +268,70 @@ (tags "CR2032 BR2032 BatteryHolder Battery") (path "/00000000-0000-0000-0000-00005d6f40ee") (attr smd) - (fp_text reference "BAT1" (at 12.99972 -5.82676) (layer "B.SilkS") + (fp_text reference "BAT1" (at 13.0556 -5.1816 -90) (layer "B.SilkS") (effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror)) ) (fp_text value "Battery_Cell" (at 0 -11.75 -90) (layer "B.Fab") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start -11.98524 -5.54736) (end -13.98524 -5.54736) (layer "B.SilkS") (width 0.12)) - (fp_line (start -12.97432 -4.5278) (end -12.97432 -6.5278) (layer "B.SilkS") (width 0.12)) + (fp_circle (center 0 0) (end -10.2 0) (layer "Dwgs.User") (width 0.3)) + (fp_line (start 11 -8) (end -9.4 -8) (layer "B.Fab") (width 0.1)) + (fp_line (start 11 8) (end -11 8) (layer "B.Fab") (width 0.1)) + (fp_line (start 11 -8) (end 11 -3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start 11 8) (end 11 3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start -11 8) (end -11 3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start -11 -6.4) (end -11 -3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start -11 -3.5) (end -14.2 -3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start -14.2 -3.5) (end -14.2 3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start -14.2 3.5) (end -11 3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start 11 -3.5) (end 14.2 -3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start 14.2 -3.5) (end 14.2 3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start 14.2 3.5) (end 11 3.5) (layer "B.Fab") (width 0.1)) + (fp_line (start -9.4 -8) (end -11 -6.4) (layer "B.Fab") (width 0.1)) + (fp_line (start 11.35 -3.85) (end 14.55 -3.85) (layer "B.SilkS") (width 0.12)) + (fp_line (start 14.55 -3.85) (end 14.55 -2.3) (layer "B.SilkS") (width 0.12)) + (fp_line (start 11.35 -8.35) (end 11.35 -3.85) (layer "B.SilkS") (width 0.12)) + (fp_line (start 11.35 -8.35) (end -9.55 -8.35) (layer "B.SilkS") (width 0.12)) + (fp_line (start -11.35 -6.55) (end -11.35 -3.85) (layer "B.SilkS") (width 0.12)) + (fp_line (start -9.55 -8.35) (end -11.35 -6.55) (layer "B.SilkS") (width 0.12)) + (fp_line (start -11.35 -3.85) (end -14.55 -3.85) (layer "B.SilkS") (width 0.12)) + (fp_line (start -14.55 -3.85) (end -14.55 -2.3) (layer "B.SilkS") (width 0.12)) + (fp_line (start -11.35 3.85) (end -14.55 3.85) (layer "B.SilkS") (width 0.12)) + (fp_line (start -14.55 3.85) (end -14.55 2.3) (layer "B.SilkS") (width 0.12)) + (fp_line (start 11.35 3.85) (end 14.55 3.85) (layer "B.SilkS") (width 0.12)) + (fp_line (start 14.55 3.85) (end 14.55 2.3) (layer "B.SilkS") (width 0.12)) + (fp_line (start -11.35 8.35) (end 11.35 8.35) (layer "B.SilkS") (width 0.12)) + (fp_line (start -11.35 8.35) (end -11.35 3.85) (layer "B.SilkS") (width 0.12)) + (fp_line (start 11.35 8.35) (end 11.35 3.85) (layer "B.SilkS") (width 0.12)) + (fp_arc (start 0 0) (end -6.5 -8.5) (angle 74.81070976) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 11.5 -8.5) (end 6.5 -8.5) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -6.5 -8.5) (end -11.5 -8.5) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -11.5 -4) (end -11.5 -8.5) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -14.7 -4) (end -11.5 -4) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -14.7 -4) (end -14.7 -2.3) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -14.7 -2.3) (end -16.45 -2.3) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -16.45 -2.3) (end -16.45 2.3) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -14.7 2.3) (end -16.45 2.3) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -14.7 2.3) (end -14.7 4) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -14.7 4) (end -11.5 4) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -11.5 4) (end -11.5 8.5) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -11.5 8.5) (end -6.5 8.5) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 11.5 8.5) (end 11.5 4) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 11.5 4) (end 14.7 4) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 14.7 4) (end 14.7 2.3) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 14.7 2.3) (end 16.45 2.3) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 16.45 2.3) (end 16.45 -2.3) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 16.45 -2.3) (end 14.7 -2.3) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 14.7 -2.3) (end 14.7 -4) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 14.7 -4) (end 11.5 -4) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 11.5 -4) (end 11.5 -8.5) (layer "B.CrtYd") (width 0.05)) + (fp_arc (start 0 0) (end 6.5 8.5) (angle 74.81070976) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 11.5 8.5) (end 6.5 8.5) (layer "B.CrtYd") (width 0.05)) (fp_text user "BAT" (at 0 0 -90) (layer "B.Fab") hide (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start 11.5 8.5) (end 6.5 8.5) (layer "B.CrtYd") (width 0.05)) - (fp_arc (start 0 0) (end 6.5 8.5) (angle 74.81070976) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 11.5 -4) (end 11.5 -8.5) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 14.7 -4) (end 11.5 -4) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 14.7 -2.3) (end 14.7 -4) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 16.45 -2.3) (end 14.7 -2.3) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 16.45 2.3) (end 16.45 -2.3) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 14.7 2.3) (end 16.45 2.3) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 14.7 4) (end 14.7 2.3) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 11.5 4) (end 14.7 4) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 11.5 8.5) (end 11.5 4) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -11.5 8.5) (end -6.5 8.5) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -11.5 4) (end -11.5 8.5) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -14.7 4) (end -11.5 4) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -14.7 2.3) (end -14.7 4) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -14.7 2.3) (end -16.45 2.3) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -16.45 -2.3) (end -16.45 2.3) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -14.7 -2.3) (end -16.45 -2.3) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -14.7 -4) (end -14.7 -2.3) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -14.7 -4) (end -11.5 -4) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -11.5 -4) (end -11.5 -8.5) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -6.5 -8.5) (end -11.5 -8.5) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 11.5 -8.5) (end 6.5 -8.5) (layer "B.CrtYd") (width 0.05)) - (fp_arc (start 0 0) (end -6.5 -8.5) (angle 74.81070976) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 11.35 8.35) (end 11.35 3.85) (layer "B.SilkS") (width 0.12)) - (fp_line (start -11.35 8.35) (end -11.35 3.85) (layer "B.SilkS") (width 0.12)) - (fp_line (start -11.35 8.35) (end 11.35 8.35) (layer "B.SilkS") (width 0.12)) - (fp_line (start 14.55 3.85) (end 14.55 2.3) (layer "B.SilkS") (width 0.12)) - (fp_line (start 11.35 3.85) (end 14.55 3.85) (layer "B.SilkS") (width 0.12)) - (fp_line (start -14.55 3.85) (end -14.55 2.3) (layer "B.SilkS") (width 0.12)) - (fp_line (start -11.35 3.85) (end -14.55 3.85) (layer "B.SilkS") (width 0.12)) - (fp_line (start -14.55 -3.85) (end -14.55 -2.3) (layer "B.SilkS") (width 0.12)) - (fp_line (start -11.35 -3.85) (end -14.55 -3.85) (layer "B.SilkS") (width 0.12)) - (fp_line (start -9.55 -8.35) (end -11.35 -6.55) (layer "B.SilkS") (width 0.12)) - (fp_line (start -11.35 -6.55) (end -11.35 -3.85) (layer "B.SilkS") (width 0.12)) - (fp_line (start 11.35 -8.35) (end -9.55 -8.35) (layer "B.SilkS") (width 0.12)) - (fp_line (start 11.35 -8.35) (end 11.35 -3.85) (layer "B.SilkS") (width 0.12)) - (fp_line (start 14.55 -3.85) (end 14.55 -2.3) (layer "B.SilkS") (width 0.12)) - (fp_line (start 11.35 -3.85) (end 14.55 -3.85) (layer "B.SilkS") (width 0.12)) - (fp_line (start -9.4 -8) (end -11 -6.4) (layer "B.Fab") (width 0.1)) - (fp_line (start 14.2 3.5) (end 11 3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start 14.2 -3.5) (end 14.2 3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start 11 -3.5) (end 14.2 -3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start -14.2 3.5) (end -11 3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start -14.2 -3.5) (end -14.2 3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start -11 -3.5) (end -14.2 -3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start -11 -6.4) (end -11 -3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start -11 8) (end -11 3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start 11 8) (end 11 3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start 11 -8) (end 11 -3.5) (layer "B.Fab") (width 0.1)) - (fp_line (start 11 8) (end -11 8) (layer "B.Fab") (width 0.1)) - (fp_line (start 11 -8) (end -9.4 -8) (layer "B.Fab") (width 0.1)) - (fp_circle (center 0 0) (end -10.2 0) (layer "Dwgs.User") (width 0.3)) + (fp_line (start -12.97432 -4.5278) (end -12.97432 -6.5278) (layer "B.SilkS") (width 0.12)) + (fp_line (start -11.98524 -5.54736) (end -13.98524 -5.54736) (layer "B.SilkS") (width 0.12)) (pad "1" smd rect (at -12.446 0 90) (size 7.1 3.6) (layers "B.Cu" "B.Paste" "B.Mask") (net 2 "+3V3") (pinfunction "+") (tstamp caf55193-8fd8-4cc8-9c23-db184a9b1e1f)) (pad "2" smd rect (at 12.446 0 90) (size 7.1 3.6) (layers "B.Cu" "B.Paste" "B.Mask") @@ -349,25 +349,25 @@ (tags "capacitor handsolder") (path "/00000000-0000-0000-0000-00005d694e97") (attr smd) - (fp_text reference "C2" (at -1.1795 -1.905 270) (layer "F.SilkS") + (fp_text reference "C2" (at -0.0619 -1.8288) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "100n" (at 0 1.82 180) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "F.SilkS") (width 0.12)) + (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "F.SilkS") (width 0.12)) + (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05)) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.8 0.8) (thickness 0.12))) ) - (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "F.SilkS") (width 0.12)) - (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "F.SilkS") (width 0.12)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1)) (pad "2" smd roundrect (at 1.4875 0) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) (net 3 "GND") (tstamp c9eb0720-9b2f-46da-8f62-376f2cd23c4c)) (pad "1" smd roundrect (at -1.4875 0) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) @@ -385,33 +385,33 @@ (tags "SOIC SO") (path "/00000000-0000-0000-0000-00005e9fca2b") (attr smd) - (fp_text reference "U1" (at 0.4445 -7.366) (layer "F.SilkS") + (fp_text reference "U1" (at -6.9342 -3.0988) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "ATtiny3216-S" (at 0 7.35) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start 0 6.51) (end 3.86 6.51) (layer "F.SilkS") (width 0.12)) + (fp_line (start 3.86 6.51) (end 3.86 6.275) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0 6.51) (end -3.86 6.51) (layer "F.SilkS") (width 0.12)) + (fp_line (start -3.86 6.51) (end -3.86 6.275) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0 -6.51) (end 3.86 -6.51) (layer "F.SilkS") (width 0.12)) + (fp_line (start 3.86 -6.51) (end 3.86 -6.275) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0 -6.51) (end -3.86 -6.51) (layer "F.SilkS") (width 0.12)) + (fp_line (start -3.86 -6.51) (end -3.86 -6.275) (layer "F.SilkS") (width 0.12)) + (fp_line (start -3.86 -6.275) (end -5.675 -6.275) (layer "F.SilkS") (width 0.12)) + (fp_line (start -2.75 -6.4) (end 3.75 -6.4) (layer "F.Fab") (width 0.1)) + (fp_line (start 3.75 -6.4) (end 3.75 6.4) (layer "F.Fab") (width 0.1)) + (fp_line (start 3.75 6.4) (end -3.75 6.4) (layer "F.Fab") (width 0.1)) + (fp_line (start -3.75 6.4) (end -3.75 -5.4) (layer "F.Fab") (width 0.1)) + (fp_line (start -3.75 -5.4) (end -2.75 -6.4) (layer "F.Fab") (width 0.1)) + (fp_line (start -5.93 -6.65) (end -5.93 6.65) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -5.93 6.65) (end 5.93 6.65) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 5.93 6.65) (end 5.93 -6.65) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 5.93 -6.65) (end -5.93 -6.65) (layer "F.CrtYd") (width 0.05)) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 5.93 -6.65) (end -5.93 -6.65) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 5.93 6.65) (end 5.93 -6.65) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -5.93 6.65) (end 5.93 6.65) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -5.93 -6.65) (end -5.93 6.65) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -3.75 -5.4) (end -2.75 -6.4) (layer "F.Fab") (width 0.1)) - (fp_line (start -3.75 6.4) (end -3.75 -5.4) (layer "F.Fab") (width 0.1)) - (fp_line (start 3.75 6.4) (end -3.75 6.4) (layer "F.Fab") (width 0.1)) - (fp_line (start 3.75 -6.4) (end 3.75 6.4) (layer "F.Fab") (width 0.1)) - (fp_line (start -2.75 -6.4) (end 3.75 -6.4) (layer "F.Fab") (width 0.1)) - (fp_line (start -3.86 -6.275) (end -5.675 -6.275) (layer "F.SilkS") (width 0.12)) - (fp_line (start -3.86 -6.51) (end -3.86 -6.275) (layer "F.SilkS") (width 0.12)) - (fp_line (start 0 -6.51) (end -3.86 -6.51) (layer "F.SilkS") (width 0.12)) - (fp_line (start 3.86 -6.51) (end 3.86 -6.275) (layer "F.SilkS") (width 0.12)) - (fp_line (start 0 -6.51) (end 3.86 -6.51) (layer "F.SilkS") (width 0.12)) - (fp_line (start -3.86 6.51) (end -3.86 6.275) (layer "F.SilkS") (width 0.12)) - (fp_line (start 0 6.51) (end -3.86 6.51) (layer "F.SilkS") (width 0.12)) - (fp_line (start 3.86 6.51) (end 3.86 6.275) (layer "F.SilkS") (width 0.12)) - (fp_line (start 0 6.51) (end 3.86 6.51) (layer "F.SilkS") (width 0.12)) (pad "20" smd roundrect (at 4.65 -5.715) (size 2.05 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 3 "GND") (pinfunction "GND") (tstamp 73e7bea6-ba2d-4631-8ded-915f4a01713a)) (pad "19" smd roundrect (at 4.65 -4.445) (size 2.05 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) @@ -468,27 +468,27 @@ (fp_text value "RFM95W-868S2" (at 13.5 -1.8) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0 -1) (end 16 -1) (layer "F.SilkS") (width 0.2)) - (fp_line (start 0 10.8) (end 0 11.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 0 8.8) (end 0 9.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 0 6.8) (end 0 7.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 0 4.8) (end 0 5.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 0 3.2) (end 0 2.8) (layer "F.SilkS") (width 0.2)) - (fp_line (start 0 1.2) (end 0 0.8) (layer "F.SilkS") (width 0.2)) - (fp_line (start -1 -0.8) (end 0 -0.8) (layer "F.SilkS") (width 0.2)) - (fp_line (start 0 -0.8) (end 0 -1) (layer "F.SilkS") (width 0.2)) - (fp_line (start 0 12.8) (end 0 13.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 -1) (end 16 -0.8) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 0.8) (end 16 1.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 2.8) (end 16 3.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 4.8) (end 16 5.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 6.8) (end 16 7.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 8.8) (end 16 9.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 10.8) (end 16 11.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 12.8) (end 16 13.2) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 14.8) (end 16 15) (layer "F.SilkS") (width 0.2)) - (fp_line (start 16 15) (end 0 15) (layer "F.SilkS") (width 0.2)) (fp_line (start 0 15) (end 0 14.8) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 15) (end 0 15) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 14.8) (end 16 15) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 12.8) (end 16 13.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 10.8) (end 16 11.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 8.8) (end 16 9.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 6.8) (end 16 7.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 4.8) (end 16 5.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 2.8) (end 16 3.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 0.8) (end 16 1.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 16 -1) (end 16 -0.8) (layer "F.SilkS") (width 0.2)) + (fp_line (start 0 12.8) (end 0 13.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 0 -0.8) (end 0 -1) (layer "F.SilkS") (width 0.2)) + (fp_line (start -1 -0.8) (end 0 -0.8) (layer "F.SilkS") (width 0.2)) + (fp_line (start 0 1.2) (end 0 0.8) (layer "F.SilkS") (width 0.2)) + (fp_line (start 0 3.2) (end 0 2.8) (layer "F.SilkS") (width 0.2)) + (fp_line (start 0 4.8) (end 0 5.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 0 6.8) (end 0 7.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 0 8.8) (end 0 9.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 0 10.8) (end 0 11.2) (layer "F.SilkS") (width 0.2)) + (fp_line (start 0 -1) (end 16 -1) (layer "F.SilkS") (width 0.2)) (pad "16" smd oval (at 16 0 180) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (net 22 "Net-(RFM95-Pad16)") (pinfunction "DIO2") (tstamp 3f50cafc-6e68-4d1d-844a-373a50ece236)) (pad "15" smd oval (at 16 2 180) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") @@ -529,25 +529,25 @@ (tags "resistor handsolder") (path "/00000000-0000-0000-0000-00005e0cabaf") (attr smd) - (fp_text reference "R2" (at 0.1 1.778 90) (layer "F.SilkS") + (fp_text reference "R2" (at 0.1 1.778 -90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "47K" (at 0 1.82 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "F.SilkS") (width 0.12)) + (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "F.SilkS") (width 0.12)) + (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05)) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8 0.8) (thickness 0.12))) ) - (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "F.SilkS") (width 0.12)) - (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "F.SilkS") (width 0.12)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1)) (pad "2" smd roundrect (at 1.4875 0 90) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) (net 2 "+3V3") (tstamp ec4f151e-24f2-4c68-9f42-b1953ac1077e)) (pad "1" smd roundrect (at -1.4875 0 90) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) @@ -565,25 +565,25 @@ (tags "resistor handsolder") (path "/00000000-0000-0000-0000-00005d6827f4") (attr smd) - (fp_text reference "R1" (at -1.651 -2.286 90) (layer "F.SilkS") + (fp_text reference "R1" (at 0.0254 -1.7272 180) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "100" (at 0 1.82 180) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "F.SilkS") (width 0.12)) + (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "F.SilkS") (width 0.12)) + (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05)) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.8 0.8) (thickness 0.12))) ) - (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "F.SilkS") (width 0.12)) - (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "F.SilkS") (width 0.12)) - (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1)) (pad "2" smd roundrect (at 1.4875 0) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) (net 8 "PB0") (tstamp ec4f151e-24f2-4c68-9f42-b1953ac1077e)) (pad "1" smd roundrect (at -1.4875 0) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) @@ -600,32 +600,32 @@ (descr "Through hole straight pin header, 2x03, 2.54mm pitch, double rows") (tags "Through hole pin header THT 2x03 2.54mm double row") (path "/00000000-0000-0000-0000-00005d69c5bf") - (fp_text reference "PRG1" (at 0.9525 -2.0955 270) (layer "F.SilkS") + (fp_text reference "PRG1" (at 1.1176 -2.4638 -90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "PRG" (at 1.27 7.41 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1)) + (fp_line (start 3.81 -1.27) (end 3.81 6.35) (layer "F.Fab") (width 0.1)) + (fp_line (start 3.81 6.35) (end -1.27 6.35) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 0) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.27 0) (end 0 -1.27) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.33 6.41) (end 3.87 6.41) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer "F.SilkS") (width 0.12)) + (fp_line (start 3.87 -1.33) (end 3.87 6.41) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer "F.SilkS") (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer "F.SilkS") (width 0.12)) + (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -1.8 6.85) (end 4.35 6.85) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 4.35 6.85) (end 4.35 -1.8) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05)) (fp_text user "${REFERENCE}" (at 1.27 2.54) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 4.35 6.85) (end 4.35 -1.8) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -1.8 6.85) (end 4.35 6.85) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12)) - (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer "F.SilkS") (width 0.12)) - (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer "F.SilkS") (width 0.12)) - (fp_line (start 3.87 -1.33) (end 3.87 6.41) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.33 6.41) (end 3.87 6.41) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.27 0) (end 0 -1.27) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.27 6.35) (end -1.27 0) (layer "F.Fab") (width 0.1)) - (fp_line (start 3.81 6.35) (end -1.27 6.35) (layer "F.Fab") (width 0.1)) - (fp_line (start 3.81 -1.27) (end 3.81 6.35) (layer "F.Fab") (width 0.1)) - (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer "F.Fab") (width 0.1)) (pad "6" thru_hole oval (at 2.54 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (net 3 "GND") (pinfunction "Pin_6") (tstamp 22b38b8c-27b4-4ea0-a77a-1df702837537)) (pad "5" thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) @@ -651,27 +651,27 @@ (tags "LED handsolder") (path "/00000000-0000-0000-0000-00005d67f14d") (attr smd) - (fp_text reference "D1" (at 1.5605 2.032 90) (layer "F.SilkS") + (fp_text reference "D1" (at 0.0111 1.905 180) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "LED" (at 0 1.82 180) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1)) + (fp_line (start 1.6 -1.135) (end -2.46 -1.135) (layer "F.SilkS") (width 0.12)) + (fp_line (start -2.46 -1.135) (end -2.46 1.135) (layer "F.SilkS") (width 0.12)) + (fp_line (start -2.46 1.135) (end 1.6 1.135) (layer "F.SilkS") (width 0.12)) + (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05)) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.8 0.8) (thickness 0.12))) ) - (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -2.46 1.135) (end 1.6 1.135) (layer "F.SilkS") (width 0.12)) - (fp_line (start -2.46 -1.135) (end -2.46 1.135) (layer "F.SilkS") (width 0.12)) - (fp_line (start 1.6 -1.135) (end -2.46 -1.135) (layer "F.SilkS") (width 0.12)) - (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer "F.Fab") (width 0.1)) - (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer "F.Fab") (width 0.1)) - (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer "F.Fab") (width 0.1)) (pad "2" smd roundrect (at 1.4875 0 180) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) (net 4 "Net-(D1-Pad2)") (pinfunction "A") (tstamp cb57a53c-c72a-4da9-87fc-ba0be6b5072b)) (pad "1" smd roundrect (at -1.4875 0 180) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439) @@ -695,19 +695,19 @@ (fp_text value "100n" (at 0 1.82 -90) (layer "B.Fab") (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) + (fp_line (start -1.6 -0.8) (end -1.6 0.8) (layer "B.Fab") (width 0.1)) + (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "B.Fab") (width 0.1)) + (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "B.Fab") (width 0.1)) + (fp_line (start 1.6 -0.8) (end -1.6 -0.8) (layer "B.Fab") (width 0.1)) + (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "B.SilkS") (width 0.12)) + (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "B.SilkS") (width 0.12)) + (fp_line (start -2.45 -1.12) (end -2.45 1.12) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -2.45 1.12) (end 2.45 1.12) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 2.45 1.12) (end 2.45 -1.12) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 2.45 -1.12) (end -2.45 -1.12) (layer "B.CrtYd") (width 0.05)) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "B.Fab") (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) ) - (fp_line (start 2.45 -1.12) (end -2.45 -1.12) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 2.45 1.12) (end 2.45 -1.12) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -2.45 1.12) (end 2.45 1.12) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -2.45 -1.12) (end -2.45 1.12) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "B.SilkS") (width 0.12)) - (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "B.SilkS") (width 0.12)) - (fp_line (start 1.6 -0.8) (end -1.6 -0.8) (layer "B.Fab") (width 0.1)) - (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "B.Fab") (width 0.1)) - (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "B.Fab") (width 0.1)) - (fp_line (start -1.6 -0.8) (end -1.6 0.8) (layer "B.Fab") (width 0.1)) (pad "2" smd roundrect (at 1.4875 0 270) (size 1.425 1.75) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.175439) (net 3 "GND") (tstamp c9eb0720-9b2f-46da-8f62-376f2cd23c4c)) (pad "1" smd roundrect (at -1.4875 0 270) (size 1.425 1.75) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.175439) @@ -719,7 +719,7 @@ ) ) - (module "SMA_Multi:SMA_Edge_UFL_Combo" (layer "F.Cu") (tedit 5E2D63D1) (tstamp 5c2581a4-fc96-4ff2-9408-e7dbaf2996bf) + (module "SMA_Multi:SMA_Edge_UFL_Combo" (layer "F.Cu") (tedit 5EA27E13) (tstamp 5c2581a4-fc96-4ff2-9408-e7dbaf2996bf) (at 90.805 34.702 -90) (descr "Connector SMA, 0Hz to 20GHz, 50Ohm, Edge Mount (http://suddendocs.samtec.com/prints/sma-j-p-x-st-em1-mkt.pdf)") (tags "SMA Straight Samtec Edge Mount") @@ -731,50 +731,52 @@ (fp_text value "Antenna" (at 0 13 90) (layer "F.Fab") (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0.84 2) (end 1.95 2) (layer "F.SilkS") (width 0.12)) - (fp_line (start -1.95 2) (end -0.84 2) (layer "F.SilkS") (width 0.12)) - (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 4 2.6) (end 3.68 2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -3.68 2.6) (end -4 2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 3.68 2.6) (end 4 2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -3.68 2.6) (end -4 2.6) (layer "F.CrtYd") (width 0.05)) - (fp_text user "PCB Edge" (at 0 2.6 90) (layer "Dwgs.User") - (effects (font (size 0.5 0.5) (thickness 0.1))) - ) - (fp_line (start 4.1 2.1) (end -4.1 2.1) (layer "Dwgs.User") (width 0.1)) - (fp_line (start -3.175 -1.71) (end -3.175 11.62) (layer "F.Fab") (width 0.1)) - (fp_line (start -2.365 -1.71) (end -3.175 -1.71) (layer "F.Fab") (width 0.1)) - (fp_line (start -2.365 2.1) (end -2.365 -1.71) (layer "F.Fab") (width 0.1)) - (fp_line (start 2.365 2.1) (end -2.365 2.1) (layer "F.Fab") (width 0.1)) - (fp_line (start 2.365 -1.71) (end 2.365 2.1) (layer "F.Fab") (width 0.1)) - (fp_line (start 3.175 -1.71) (end 2.365 -1.71) (layer "F.Fab") (width 0.1)) - (fp_line (start 3.175 -1.71) (end 3.175 11.62) (layer "F.Fab") (width 0.1)) - (fp_line (start 3.165 11.62) (end -3.165 11.62) (layer "F.Fab") (width 0.1)) - (fp_line (start -4 -2.6) (end 4 -2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -4 2.6) (end -4 -2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 4 2.6) (end 4 -2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -4 -2.6) (end 4 -2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -4 2.6) (end -4 -2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 4 2.6) (end 4 -2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -0.25 -2.76) (end 0 -2.26) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0.25 -2.76) (end -0.25 -2.76) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0 -2.26) (end 0.25 -2.76) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0 3.1) (end -0.64 2.1) (layer "F.Fab") (width 0.1)) + (fp_line (start 0.64 2.1) (end 0 3.1) (layer "F.Fab") (width 0.1)) (fp_text user "${REFERENCE}" (at 0 4.79 -90) (layer "F.Fab") hide (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0.64 2.1) (end 0 3.1) (layer "F.Fab") (width 0.1)) - (fp_line (start 0 3.1) (end -0.64 2.1) (layer "F.Fab") (width 0.1)) - (fp_line (start 0 -2.26) (end 0.25 -2.76) (layer "F.SilkS") (width 0.12)) - (fp_line (start 0.25 -2.76) (end -0.25 -2.76) (layer "F.SilkS") (width 0.12)) - (fp_line (start -0.25 -2.76) (end 0 -2.26) (layer "F.SilkS") (width 0.12)) + (fp_line (start 4 2.6) (end 4 -2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -4 2.6) (end -4 -2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -4 -2.6) (end 4 -2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 4 2.6) (end 4 -2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -4 2.6) (end -4 -2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -4 -2.6) (end 4 -2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 3.165 11.62) (end -3.165 11.62) (layer "F.Fab") (width 0.1)) + (fp_line (start 3.175 -1.71) (end 3.175 11.62) (layer "F.Fab") (width 0.1)) + (fp_line (start 3.175 -1.71) (end 2.365 -1.71) (layer "F.Fab") (width 0.1)) + (fp_line (start 2.365 -1.71) (end 2.365 2.1) (layer "F.Fab") (width 0.1)) + (fp_line (start 2.365 2.1) (end -2.365 2.1) (layer "F.Fab") (width 0.1)) + (fp_line (start -2.365 2.1) (end -2.365 -1.71) (layer "F.Fab") (width 0.1)) + (fp_line (start -2.365 -1.71) (end -3.175 -1.71) (layer "F.Fab") (width 0.1)) + (fp_line (start -3.175 -1.71) (end -3.175 11.62) (layer "F.Fab") (width 0.1)) + (fp_line (start 4.1 2.1) (end -4.1 2.1) (layer "Dwgs.User") (width 0.1)) + (fp_text user "PCB Edge" (at 0 2.6 90) (layer "Dwgs.User") + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_line (start -3.68 2.6) (end -4 2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 3.68 2.6) (end 4 2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -3.68 2.6) (end -4 2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 4 2.6) (end 3.68 2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -1.95 2) (end -0.84 2) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0.84 2) (end 1.95 2) (layer "F.SilkS") (width 0.12)) (pad "1" thru_hole rect (at 0 0.9906 270) (size 1.2 2.1) (drill 1) (layers *.Cu *.Mask) (net 1 "Net-(ANT1-Pad1)") (pinfunction "A") (tstamp ace3968f-3b0a-45ce-91f4-2bd6417606e4)) - (pad "2" smd rect (at 2.825 0 270) (size 1.35 4.2) (layers "B.Cu" "B.Paste" "B.Mask") (tstamp a3890449-dbf9-4e0a-9e99-d6f95bcc863f)) - (pad "2" smd rect (at -2.825 0 270) (size 1.35 4.2) (layers "B.Cu" "B.Paste" "B.Mask") (tstamp b3131ef5-cf5b-4537-9c72-ba8b37a761c7)) + (pad "2" smd rect (at 2.825 0 270) (size 1.35 4.2) (layers "B.Cu" "B.Paste" "B.Mask") + (net 3 "GND") (tstamp a3890449-dbf9-4e0a-9e99-d6f95bcc863f)) + (pad "2" smd rect (at -2.825 0 270) (size 1.35 4.2) (layers "B.Cu" "B.Paste" "B.Mask") + (net 3 "GND") (tstamp b3131ef5-cf5b-4537-9c72-ba8b37a761c7)) (pad "2" smd custom (at 1.5494 -1.6002 270) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") - (zone_connect 0) + (net 3 "GND") (zone_connect 0) (options (clearance outline) (anchor rect)) (primitives (gr_poly (pts @@ -782,7 +784,7 @@ (xy -0.5 1.5)) (width 0)) ) (tstamp add5c039-e936-4075-93e2-daf3fcd50757)) (pad "2" smd custom (at -3.00228 -1.6002 270) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") - (zone_connect 0) + (net 3 "GND") (zone_connect 0) (options (clearance outline) (anchor rect)) (primitives (gr_poly (pts @@ -796,201 +798,213 @@ ) ) + (gr_text "TinyLora v3" (at 93.1926 55.0672 270) (layer "B.SilkS") (tstamp 862fd209-ba08-4c14-ae0f-ca461798676a) + (effects (font (size 1.5 1.5) (thickness 0.15)) (justify mirror)) + ) (gr_line (start 88.646 68.834) (end 88.646 30.48) (layer "Edge.Cuts") (width 0.05) (tstamp 69c6ac15-c663-4251-aa76-bcca241035f7)) (gr_line (start 114.046 68.834) (end 88.646 68.834) (layer "Edge.Cuts") (width 0.05) (tstamp 6acb43c1-5550-4b69-8007-33afe5a01a62)) (gr_line (start 114.046 30.48) (end 114.046 68.834) (layer "Edge.Cuts") (width 0.05) (tstamp fb58ff92-cfd2-4c65-a649-73a230fcc032)) (gr_line (start 88.646 30.48) (end 114.046 30.48) (layer "Edge.Cuts") (width 0.05) (tstamp e1ccaccc-507f-4d03-bd91-9f156bbd2c19)) - (segment (start 112.649 54.737) (end 112.649 59.182) (width 0.25) (layer "B.Cu") (net 3) (tstamp 787ff446-b594-45b6-a36f-5753ed60c8bd)) - (segment (start 108.331 57.023) (end 108.331 57.966) (width 0.25) (layer "F.Cu") (net 2) (tstamp d7fbd04d-8de8-4aa3-901d-d04e97031dde)) - (segment (start 108.331 57.966) (end 109.547 59.182) (width 0.25) (layer "F.Cu") (net 2) (tstamp d93c321b-4f7f-4850-8fd7-3e2d6be22ca4)) - (via (at 100.711 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7f1ae65c-c9d9-4efd-83ab-39f455dc226f)) - (segment (start 103.816002 51.054) (end 103.181002 50.419) (width 0.25) (layer "F.Cu") (net 2) (tstamp 2495e8cd-2fe0-4cfd-8708-041809d76522)) - (segment (start 101.981 50.419) (end 100.711 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 50f06ddc-d7b2-4fb7-9e21-27e8c8c60447)) - (segment (start 103.181002 50.419) (end 101.981 50.419) (width 0.25) (layer "F.Cu") (net 2) (tstamp 61ef18d4-5a1c-462d-a9bc-918e00a77de2)) - (segment (start 107.818044 51.054) (end 103.816002 51.054) (width 0.25) (layer "F.Cu") (net 2) (tstamp 4882dbfd-7e1c-4fb0-8a98-678ea878a6dc)) - (segment (start 100.711 49.149) (end 97.458 49.149) (width 0.25) (layer "B.Cu") (net 2) (tstamp 4ee99b74-4c11-477d-b5c5-ad6487c74785)) - (segment (start 102.653 49.149) (end 103.505 50.001) (width 0.25) (layer "F.Cu") (net 19) (tstamp 2f969c31-1a1a-41ca-a6db-21c1dfa3ad26)) - (segment (start 103.505 50.001) (end 106.34 50.001) (width 0.25) (layer "F.Cu") (net 19) (tstamp 3f03d797-0591-4e53-8b83-01bd06f7da39)) - (segment (start 106.34 50.001) (end 106.758 50.419) (width 0.25) (layer "F.Cu") (net 19) (tstamp 7eba39af-631f-4e0d-8385-1b1da86b8d03)) - (via (at 102.235 53.684) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 19) (tstamp d9565cc2-0ba7-414e-a6a4-0e807f0dbfe7)) - (segment (start 102.071 53.848) (end 102.235 53.684) (width 0.25) (layer "F.Cu") (net 19) (tstamp 6d6b5d9e-37b9-42eb-96fe-69026ed4c6d2)) - (segment (start 102.235 53.684) (end 102.235 49.567) (width 0.25) (layer "B.Cu") (net 19) (tstamp 1a64a983-ce78-4daf-93b5-91efa3e9ee95)) - (segment (start 100.584 53.848) (end 102.071 53.848) (width 0.25) (layer "F.Cu") (net 19) (tstamp 774265cd-067a-4d7e-aeb3-5414445e91e9)) - (segment (start 102.235 49.567) (end 102.653 49.149) (width 0.25) (layer "B.Cu") (net 19) (tstamp aa55f531-1a6d-4afa-8e92-2e1e8468e5ed)) - (via (at 102.653 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 19) (tstamp 9beaeddd-5362-4c43-be98-81821b6d09e4)) - (segment (start 102.489 48.895) (end 102.743 49.149) (width 0.25) (layer "F.Cu") (net 19) (tstamp c6815ebe-ad6a-44c1-b223-ae60e7a73b46)) - (segment (start 102.647 40.228) (end 102.489 40.386) (width 0.25) (layer "F.Cu") (net 19) (tstamp 6b89d105-e01e-483c-bce6-d07439ed7f96)) - (segment (start 102.489 40.386) (end 102.489 48.895) (width 0.25) (layer "F.Cu") (net 19) (tstamp 00afc1bb-9caa-4b1e-9e2f-f54d0690c34c)) - (segment (start 112.268 40.228) (end 102.647 40.228) (width 0.25) (layer "F.Cu") (net 19) (tstamp eefb652b-89b8-4a51-8066-03e63fc0bf4f)) - (via (at 97.458 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp c5388831-02e2-42ac-8b12-ce70b0f209eb)) - (segment (start 103.378 44.196) (end 103.378 42.164) (width 0.25) (layer "F.Cu") (net 20) (tstamp aef61fbb-df18-4a45-896e-215708dd5a61)) - (segment (start 103.378 51.689) (end 103.378 44.196) (width 0.25) (layer "B.Cu") (net 20) (tstamp 47ca26f5-26bc-4771-bfa1-41bcb71f0d2e)) - (segment (start 103.378 42.164) (end 112.204 42.164) (width 0.25) (layer "F.Cu") (net 20) (tstamp dd18b1c3-6ecc-48c8-a863-d2d684c73518)) - (via (at 103.378 44.196) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp 1093996d-ee04-4c59-9b74-b3c7d06a3b43)) - (segment (start 112.204 42.164) (end 112.268 42.228) (width 0.25) (layer "F.Cu") (net 20) (tstamp 124fc8d9-09fb-4698-ba62-dc8062ab3735)) - (via (at 103.378 51.689) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp 3badbc2e-3b23-416b-b0e8-a9d5a17f96ce)) - (segment (start 103.378 51.689) (end 106.758 51.689) (width 0.25) (layer "F.Cu") (net 20) (tstamp f3979f04-e0c0-47e5-a7fb-e6fe7eef4ec0)) - (segment (start 104.521 44.196) (end 104.521 52.959) (width 0.25) (layer "B.Cu") (net 18) (tstamp b36eb5df-8b7e-463b-8418-b4e3fd46c610)) - (segment (start 112.268 44.228) (end 104.553 44.228) (width 0.25) (layer "F.Cu") (net 18) (tstamp 23aaadef-3d2f-466d-b8ce-25a6d232fe93)) - (segment (start 104.553 44.228) (end 104.521 44.196) (width 0.25) (layer "F.Cu") (net 18) (tstamp b005edb7-d540-4ce4-b7ec-608734bc7ad4)) - (segment (start 108.331 57.023) (end 108.331 51.566956) (width 0.25) (layer "F.Cu") (net 2) (tstamp 3540ced7-f9c3-46da-a76f-c98fc38d9b00)) - (segment (start 108.331 51.566956) (end 107.818044 51.054) (width 0.25) (layer "F.Cu") (net 2) (tstamp c2581645-d3cb-433b-9852-3c1e66766b88)) - (segment (start 109.6375 51.308) (end 109.6375 54.7005) (width 0.25) (layer "F.Cu") (net 4) (tstamp 20bbb62a-263c-4e61-ac6d-a9fa38973358)) - (segment (start 109.6375 54.7005) (end 109.674 54.737) (width 0.25) (layer "F.Cu") (net 4) (tstamp 4c89ecac-4bc8-405c-a673-3e04cd926bd5)) - (segment (start 106.758 60.579) (end 111.125 60.579) (width 0.25) (layer "F.Cu") (net 8) (tstamp 51b4e2ea-bde2-4196-ab5b-4e6c79d43ff0)) - (segment (start 111.125 60.579) (end 111.125 52.7955) (width 0.25) (layer "F.Cu") (net 8) (tstamp 00301aef-5178-4eab-8a24-d67127856e79)) - (segment (start 111.125 52.7955) (end 112.6125 51.308) (width 0.25) (layer "F.Cu") (net 8) (tstamp a0027806-df2f-4555-b5de-2b9fa8e8e08d)) - (segment (start 106.758 59.309) (end 105.733 59.309) (width 0.25) (layer "F.Cu") (net 14) (tstamp 2aad665d-acb8-4509-8a03-c349eee3748c)) - (segment (start 105.733 59.309) (end 105.40799 59.63401) (width 0.25) (layer "F.Cu") (net 14) (tstamp dd0a8bc7-b843-4127-93ec-be7c0e250243)) - (segment (start 105.40799 59.63401) (end 105.40799 62.86299) (width 0.25) (layer "F.Cu") (net 14) (tstamp fed42cba-c676-4f91-9442-c47b2b2403eb)) - (segment (start 105.40799 62.86299) (end 106.045 63.5) (width 0.25) (layer "F.Cu") (net 14) (tstamp 20b8e87f-d25d-493e-9bc2-839c4b5db3fd)) - (segment (start 106.045 63.5) (end 108.839 63.5) (width 0.25) (layer "F.Cu") (net 14) (tstamp 2ca5d4e7-aeb7-4a63-8165-a03cd05ff74f)) - (segment (start 108.839 63.5) (end 112.014 66.675) (width 0.25) (layer "F.Cu") (net 14) (tstamp cc608e76-395b-42ac-a0e8-0dc46214d20e)) - (segment (start 104.521 58.039) (end 104.521 64.135) (width 0.25) (layer "F.Cu") (net 13) (tstamp 0a16ad79-c189-4f4d-8b73-bf275174ed26)) - (segment (start 106.758 58.039) (end 105.733 58.039) (width 0.25) (layer "F.Cu") (net 13) (tstamp d0b8119c-5220-43f9-9aff-03074cf867b7)) - (segment (start 105.733 58.039) (end 104.521 58.039) (width 0.25) (layer "F.Cu") (net 13) (tstamp b6c307c2-25c9-4f9a-bc89-e1b523a16ec7)) - (segment (start 104.521 64.135) (end 106.934 64.135) (width 0.25) (layer "F.Cu") (net 13) (tstamp 8e35077f-7c51-420e-9b7d-b70c24ccea2f)) - (segment (start 106.934 64.135) (end 109.474 66.675) (width 0.25) (layer "F.Cu") (net 13) (tstamp 22074e62-3e03-490a-bb8e-ea448580f5df)) - (segment (start 104.013 56.769) (end 104.013 64.77) (width 0.25) (layer "F.Cu") (net 12) (tstamp c8b7203b-807b-4b1f-8bd8-83e21738900d)) - (segment (start 104.013 64.77) (end 105.029 64.77) (width 0.25) (layer "F.Cu") (net 12) (tstamp 69159ebe-2030-4912-9dea-f4ca3b1cb5a2)) - (segment (start 106.758 56.769) (end 104.013 56.769) (width 0.25) (layer "F.Cu") (net 12) (tstamp 15dc3f52-3539-4410-ada9-0df30f7bb784)) - (segment (start 105.029 64.77) (end 106.934 66.675) (width 0.25) (layer "F.Cu") (net 12) (tstamp a5ae68b7-6809-429d-99a4-8ba77bb7de74)) - (segment (start 106.758 55.499) (end 103.378 55.499) (width 0.25) (layer "F.Cu") (net 11) (tstamp 5653aeef-4ee3-460e-83e9-3648cad02d5a)) - (segment (start 103.378 55.499) (end 103.378 65.659) (width 0.25) (layer "F.Cu") (net 11) (tstamp b0adaddd-4edd-4e5f-99e0-321a9534d2e2)) - (segment (start 103.378 65.659) (end 104.394 66.675) (width 0.25) (layer "F.Cu") (net 11) (tstamp 22507ce2-e6f2-4cad-a84a-afcc594f6a4a)) - (segment (start 112.236 44.196) (end 112.268 44.228) (width 0.25) (layer "F.Cu") (net 18) (tstamp 3ab422d9-0c19-4201-a4b4-9f0074b0f538)) - (via (at 104.521 44.196) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18) (tstamp c89850ff-1839-4b82-9a7c-966e775cc70f)) - (via (at 104.521 52.959) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18) (tstamp b5e8ed3f-53fc-4b59-8ba5-37d31da983ce)) - (segment (start 102.997 52.959) (end 99.441 52.959) (width 0.25) (layer "F.Cu") (net 18) (tstamp a807e93a-b802-4754-8630-2d4241f95528)) - (segment (start 101.727 51.689) (end 103.378 51.689) (width 0.25) (layer "F.Cu") (net 20) (tstamp f3979f04-e0c0-47e5-a7fb-e6fe7eef4ec0)) - (segment (start 99.219001 65.626999) (end 98.044 66.802) (width 0.25) (layer "F.Cu") (net 19) (tstamp e340e22d-de53-4fd7-9b02-ed26af1c90c1)) - (segment (start 100.584 53.848) (end 100.584 62.522998) (width 0.25) (layer "F.Cu") (net 19) (tstamp dcfe7f3b-d487-4993-afb2-a8e6c53edea6)) - (segment (start 100.584 62.522998) (end 99.219001 63.887997) (width 0.25) (layer "F.Cu") (net 19) (tstamp dfb67a28-4e22-4c80-8e39-1ce99ebedca7)) - (segment (start 99.219001 63.887997) (end 99.219001 65.626999) (width 0.25) (layer "F.Cu") (net 19) (tstamp 0502891a-b91e-44d0-bcdf-49e37e4e3fe6)) - (segment (start 102.743 59.817) (end 112.649 59.817) (width 0.25) (layer "B.Cu") (net 3) (tstamp 2567d42c-d5a2-46d6-9d50-a3944ed25933)) - (segment (start 102.743 59.817) (end 102.743 62.103) (width 0.25) (layer "B.Cu") (net 3) (tstamp 77426bb2-65a7-4522-a9af-2b678dcd5ebd)) - (segment (start 102.743 62.103) (end 100.584 64.262) (width 0.25) (layer "B.Cu") (net 3) (tstamp 95588157-c1f0-400b-92c4-8fa699f2220e)) - (segment (start 102.743 64.643) (end 102.743 54.356) (width 0.25) (layer "F.Cu") (net 17) (tstamp 4ea95216-251c-4ed7-9cf5-d5ba13a351ab)) - (segment (start 100.584 66.802) (end 102.743 64.643) (width 0.25) (layer "F.Cu") (net 17) (tstamp 4e3043c7-3ae2-47d7-905e-991ab0b785d4)) - (segment (start 102.743 54.356) (end 106.631 54.356) (width 0.25) (layer "F.Cu") (net 17) (tstamp 922b6e5e-cd92-452d-9966-03491aceb90f)) - (segment (start 106.631 54.356) (end 106.758 54.229) (width 0.25) (layer "F.Cu") (net 17) (tstamp e45b3f93-7f39-492d-aac4-4f7831d12468)) - (segment (start 106.758 52.959) (end 102.997 52.959) (width 0.25) (layer "F.Cu") (net 18) (tstamp a807e93a-b802-4754-8630-2d4241f95528)) - (segment (start 99.441 52.959) (end 99.441 62.865) (width 0.25) (layer "F.Cu") (net 18) (tstamp 518fa321-473c-4c64-ac9d-b6b7f4627044)) - (segment (start 99.441 62.865) (end 98.044 64.262) (width 0.25) (layer "F.Cu") (net 18) (tstamp fa2c1c87-0d38-46e1-8dda-fcc8d7e77918)) - (segment (start 98.933 61.976) (end 98.933 51.689) (width 0.25) (layer "F.Cu") (net 20) (tstamp f6945956-8f45-404c-9174-eb968a504a81)) - (segment (start 97.756044 61.976) (end 98.933 61.976) (width 0.25) (layer "F.Cu") (net 20) (tstamp 7ee8ee38-ae40-449a-9ab0-4d9b7b6a5388)) - (segment (start 96.679001 62.324999) (end 97.028 61.976) (width 0.25) (layer "F.Cu") (net 20) (tstamp a3c08a94-7294-4d1f-be77-0df762839593)) - (segment (start 97.028 61.976) (end 97.756044 61.976) (width 0.25) (layer "F.Cu") (net 20) (tstamp fe05e9af-b9b9-49ed-b502-f5057e4c7908)) - (segment (start 96.679001 65.626999) (end 96.679001 62.324999) (width 0.25) (layer "F.Cu") (net 20) (tstamp f4524964-c137-4f2a-8bca-e86e3090bb2b)) - (segment (start 95.504 66.802) (end 96.679001 65.626999) (width 0.25) (layer "F.Cu") (net 20) (tstamp e3db2107-efde-4887-ab09-aa23925cc1f2)) - (segment (start 98.933 51.689) (end 101.727 51.689) (width 0.25) (layer "F.Cu") (net 20) (tstamp f3979f04-e0c0-47e5-a7fb-e6fe7eef4ec0)) - (segment (start 93.345 54.102) (end 93.345 51.562) (width 0.25) (layer "B.Cu") (net 9) (tstamp 00155fc6-7f50-4b63-9963-7197ebdaf4cd)) - (segment (start 97.458 54.229) (end 93.472 54.229) (width 0.25) (layer "F.Cu") (net 9) (tstamp b51ffef3-3067-4617-939d-1389bb5390e1)) - (segment (start 93.472 54.229) (end 93.345 54.102) (width 0.25) (layer "F.Cu") (net 9) (tstamp 310e07e8-0994-4003-80ba-4a37b80c3dc1)) - (via (at 93.345 54.102) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 9) (tstamp 3b4ce584-feba-4911-adef-b1b75477503e)) - (segment (start 93.345 51.562) (end 90.805 51.562) (width 0.25) (layer "B.Cu") (net 9) (tstamp fcf3c46c-3c44-462e-b445-72d9d5fd6094)) - (segment (start 95.631 49.149) (end 95.631 64.135) (width 0.25) (layer "B.Cu") (net 2) (tstamp 8970a571-e06b-4fb5-8ff8-441e2f5868aa)) - (segment (start 95.631 64.135) (end 95.504 64.262) (width 0.25) (layer "B.Cu") (net 2) (tstamp 6cc4e45e-64f4-40e2-b29c-3291c048943d)) - (via (at 95.631 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0ccc2490-f09f-414b-9bb4-6f730bfe8a14)) - (segment (start 95.631 49.149) (end 97.458 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 5630d098-9da3-4584-bd20-3eb3b2909112)) - (segment (start 97.458 60.579) (end 93.599 60.579) (width 0.25) (layer "F.Cu") (net 7) (tstamp 0274cdc2-a16c-47e7-aab4-85352e74c876)) - (segment (start 93.599 61.468) (end 92.456 62.611) (width 0.25) (layer "F.Cu") (net 7) (tstamp 4b3158a0-beb3-4d95-8698-44c9cc52de66)) - (segment (start 93.599 60.579) (end 93.599 61.468) (width 0.25) (layer "F.Cu") (net 7) (tstamp 84a49ac7-b41c-4b7c-a9e9-212b6152adb1)) - (segment (start 92.456 62.611) (end 92.456 65.151) (width 0.25) (layer "F.Cu") (net 7) (tstamp e4073713-26ac-4a7a-aa2d-7e7369c1ff13)) - (segment (start 92.456 65.151) (end 90.805 66.802) (width 0.25) (layer "F.Cu") (net 7) (tstamp 29562852-39cb-44b2-9f77-c5720b41d78b)) - (segment (start 93.091 61.214) (end 91.948 62.357) (width 0.25) (layer "F.Cu") (net 6) (tstamp 3e10dcc1-6582-4cb2-a4b1-0d3dff9b8dfe)) - (segment (start 97.458 59.309) (end 93.091 59.309) (width 0.25) (layer "F.Cu") (net 6) (tstamp 98e35f3e-7699-4400-b65b-0e5c6f96ac03)) - (segment (start 91.948 62.357) (end 91.948 63.119) (width 0.25) (layer "F.Cu") (net 6) (tstamp bb46b5a9-d1b7-4bc0-8e8a-0f68a82b798b)) - (segment (start 93.091 59.309) (end 93.091 61.214) (width 0.25) (layer "F.Cu") (net 6) (tstamp 299676be-47f9-47d7-b056-0cdb7d5d45e6)) - (segment (start 91.948 63.119) (end 90.805 64.262) (width 0.25) (layer "F.Cu") (net 6) (tstamp 7afb5cbb-1c3f-4703-90fc-546c17b413bc)) - (segment (start 92.964 58.039) (end 92.583 58.42) (width 0.25) (layer "F.Cu") (net 5) (tstamp 4e694701-df0a-4baa-b4e9-62148510eeb0)) - (segment (start 97.458 58.039) (end 92.964 58.039) (width 0.25) (layer "F.Cu") (net 5) (tstamp dc026ce9-5a31-4d6f-9250-5c2aba169606)) - (segment (start 92.583 58.42) (end 92.583 59.944) (width 0.25) (layer "F.Cu") (net 5) (tstamp dd713b93-12fb-44e4-95cc-59b76624a1eb)) - (segment (start 92.583 59.944) (end 90.805 61.722) (width 0.25) (layer "F.Cu") (net 5) (tstamp fe4f1f2c-f171-4542-812f-41d6e5638f32)) - (segment (start 97.458 56.769) (end 92.456 56.769) (width 0.25) (layer "F.Cu") (net 16) (tstamp 649ad3e1-62e3-4a06-b1dd-2a276c99e6b0)) - (segment (start 92.456 56.769) (end 92.456 57.531) (width 0.25) (layer "F.Cu") (net 16) (tstamp f30b980e-7c57-41d4-85b9-028a6c68c10a)) - (segment (start 92.456 57.531) (end 90.805 59.182) (width 0.25) (layer "F.Cu") (net 16) (tstamp 856a2b1f-8c04-43a4-a098-ed21a0c9a5f5)) - (segment (start 97.458 55.499) (end 91.948 55.499) (width 0.25) (layer "F.Cu") (net 15) (tstamp d0160b30-b4b6-4f88-92b6-f5bdb3d9cc8d)) - (segment (start 91.948 55.499) (end 90.805 56.642) (width 0.25) (layer "F.Cu") (net 15) (tstamp cb9a356c-c12f-480f-95c3-8da8ae07bddc)) - (segment (start 97.458 52.959) (end 91.948 52.959) (width 0.25) (layer "F.Cu") (net 10) (tstamp b6e98e86-faba-4da2-abc9-f816a1f37610)) - (segment (start 91.948 52.959) (end 90.805 54.102) (width 0.25) (layer "F.Cu") (net 10) (tstamp d65e5a21-5ba2-430c-be70-54a712063f8a)) - (segment (start 112.268 49.149) (end 112.268 54.356) (width 0.25) (layer "B.Cu") (net 3) (tstamp bb49182c-1270-4b9e-a8ce-500a6c5fb7c5)) - (segment (start 112.268 54.356) (end 112.649 54.737) (width 0.25) (layer "B.Cu") (net 3) (tstamp ad731ee5-ba2f-44fa-96a6-7323d8264e32)) - (via (at 112.649 59.182) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp a4f1a4dc-5d42-4d7b-aba8-b4d3486cf5a9)) - (via (at 112.649 55.245) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp ace21242-94db-4aea-ac05-9964286fcf6a)) - (via (at 112.268 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp dbe0ae20-ef8a-4d5a-9f38-d25728b0c081)) - (segment (start 106.807 49.149) (end 112.268 49.149) (width 0.25) (layer "F.Cu") (net 3) (tstamp f3c78127-0fb2-4a47-ad0a-cf5edf4a8034)) - (segment (start 112.268 49.149) (end 112.268 46.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 2271c560-0ae0-4037-9ecf-588f99a6e5b2)) - (segment (start 98.996 42.228) (end 99.187 42.419) (width 0.25) (layer "F.Cu") (net 24) (tstamp 08281647-a0a9-485e-900b-8f0140b02960)) - (segment (start 96.268 42.228) (end 98.996 42.228) (width 0.25) (layer "F.Cu") (net 24) (tstamp 0c43c976-a2ea-43b8-9f7e-a2f755c85b7d)) - (segment (start 99.187 42.419) (end 99.187 49.715) (width 0.25) (layer "F.Cu") (net 24) (tstamp 4838d0a3-cd98-4f99-9762-cab21adbd11a)) - (segment (start 99.187 49.715) (end 98.483 50.419) (width 0.25) (layer "F.Cu") (net 24) (tstamp d07e7cdf-d3bb-4251-985a-7ba65b2d0dd6)) - (segment (start 98.483 50.419) (end 97.458 50.419) (width 0.25) (layer "F.Cu") (net 24) (tstamp d2aad639-4685-460a-b12f-c7fe0efad049)) - (segment (start 97.79 41.148) (end 94.234 41.148) (width 0.25) (layer "F.Cu") (net 21) (tstamp 4b2f8178-efd2-4a07-b466-5945288d6c0f)) - (segment (start 94.234 41.148) (end 94.234 43.18) (width 0.25) (layer "F.Cu") (net 21) (tstamp 2a4e4a28-0a34-4b4e-979d-51ba5bab97df)) - (segment (start 112.268 38.228) (end 100.71 38.228) (width 0.25) (layer "F.Cu") (net 21) (tstamp ab76a6e3-88b8-4383-bae1-bbf77a160990)) - (segment (start 100.71 38.228) (end 97.79 41.148) (width 0.25) (layer "F.Cu") (net 21) (tstamp bfe1e291-096c-4a6c-8bbc-61282c0445ce)) - (segment (start 94.234 43.18) (end 94.18 43.234) (width 0.25) (layer "F.Cu") (net 21) (tstamp ed4c9343-ede9-4bfa-84c2-7c3059a35af3)) - (segment (start 90.932 43.234) (end 93.78 43.234) (width 0.25) (layer "F.Cu") (net 21) (tstamp 851c4317-9aff-482a-af75-4e94267d4f8a)) - (segment (start 93.78 43.234) (end 94.18 43.234) (width 0.25) (layer "F.Cu") (net 21) (tstamp 41c37e4b-a815-447e-bd01-6f7a1ad6504b)) - (segment (start 106.807 49.149) (end 106.807 43.053) (width 0.25) (layer "B.Cu") (net 3) (tstamp 3fdc1e7d-d9b2-428c-b96d-5d0f9bc4b9a8)) - (segment (start 106.807 43.053) (end 94.361 43.053) (width 0.25) (layer "B.Cu") (net 3) (tstamp e24f7598-a7f4-4e7d-8244-6dcf89919eb2)) - (segment (start 94.361 43.053) (end 94.234 43.18) (width 0.25) (layer "B.Cu") (net 3) (tstamp 3448fbc5-ba60-466a-9e75-07c42e6fc6ce)) - (via (at 106.807 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 1bc9f1c1-960a-4b10-a8e5-2d7ea304335c)) - (segment (start 94.742 49.874) (end 94.742 51.689) (width 0.25) (layer "F.Cu") (net 21) (tstamp e966eee8-f4d3-479c-8c8c-3f39236cf6da)) - (via (at 94.742 48.133) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 21) (tstamp a05e6155-8222-4fb0-b2b4-9721b267921f)) - (segment (start 94.742 43.796) (end 94.742 48.133) (width 0.25) (layer "F.Cu") (net 21) (tstamp e68de2ef-3043-4cf3-9fcb-46a9d828d16d)) - (via (at 94.742 49.874) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 21) (tstamp 3e36c66d-64cc-45bf-aaae-f93ae26008f3)) - (segment (start 94.18 43.234) (end 94.742 43.796) (width 0.25) (layer "F.Cu") (net 21) (tstamp b46163bb-219a-4b81-9f08-b737c462d6ad)) - (segment (start 94.742 48.133) (end 94.742 49.874) (width 0.25) (layer "B.Cu") (net 21) (tstamp b9eb22b3-2da8-4fa2-b966-ee0a6011d57b)) - (segment (start 94.742 51.689) (end 97.458 51.689) (width 0.25) (layer "F.Cu") (net 21) (tstamp df065ffb-c02b-447d-be18-92fb64a953e5)) - (segment (start 96.268 34.228) (end 97.344 34.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 07126343-6516-4de2-89b5-b3d8c5e946f2)) - (segment (start 97.344 34.228) (end 99.344 32.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp e87edaec-f76f-4626-9af9-c746635142a9)) - (segment (start 99.344 32.228) (end 112.268 32.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 40b61627-fb87-4e26-be14-9c6364ef5da2)) - (segment (start 94.234 43.18) (end 94.234 35.306) (width 0.25) (layer "B.Cu") (net 3) (tstamp 337ec49e-6318-431f-a4c8-823772c01be7)) - (segment (start 94.234 35.306) (end 95.19 35.306) (width 0.25) (layer "B.Cu") (net 3) (tstamp c2501879-7304-44d1-bc63-b0763e09c5e0)) - (segment (start 95.19 35.306) (end 96.268 34.228) (width 0.25) (layer "B.Cu") (net 3) (tstamp e98e36b0-727d-4c07-a5e0-43988156cefe)) - (segment (start 90.932 43.18) (end 94.234 43.18) (width 0.25) (layer "B.Cu") (net 3) (tstamp b47856a8-8ece-4d6c-b3fb-8970fbba87aa)) - (via (at 96.268 34.228) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp aa66086e-4bef-4be3-9f27-f118156b3fa5)) - (segment (start 93.853 46.482) (end 93.853 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 71d63c53-fee3-4ee8-8d3e-38c5d5339ba8)) - (segment (start 90.805 46.482) (end 93.853 46.482) (width 0.25) (layer "F.Cu") (net 2) (tstamp 03bd9556-3d1a-4118-bba3-8e4d2c28da07)) - (segment (start 93.853 49.149) (end 95.631 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 5630d098-9da3-4584-bd20-3eb3b2909112)) - (segment (start 89.027 43.18) (end 89.027 48.133) (width 0.25) (layer "B.Cu") (net 3) (tstamp 72c895ee-67d8-4e86-b8d7-cdd43ffaa224)) - (segment (start 89.027 48.133) (end 89.916 49.022) (width 0.25) (layer "B.Cu") (net 3) (tstamp aef3a3b2-7c0c-43a7-8408-5f00bb2b8a7f)) - (segment (start 89.916 49.022) (end 90.805 49.022) (width 0.25) (layer "B.Cu") (net 3) (tstamp 33eefd43-27d6-4f69-b1c7-735ae9e0e9c5)) - (segment (start 90.932 43.18) (end 89.027 43.18) (width 0.25) (layer "B.Cu") (net 3) (tstamp 47d9a896-5a88-4aa9-afdf-79b26e570bb2)) - (segment (start 96.268 40.228) (end 97.567 40.228) (width 0.25) (layer "F.Cu") (net 2) (tstamp 1b94ee95-1e29-419c-b802-14e8608e77fa)) - (segment (start 97.567 40.228) (end 102.743 35.052) (width 0.25) (layer "F.Cu") (net 2) (tstamp 11eb5dc4-34d6-4e1a-b53f-700c403713fa)) - (segment (start 90.932 40.386) (end 96.11 40.386) (width 0.25) (layer "F.Cu") (net 2) (tstamp a06f8478-8f28-413d-8b52-80c06d9b9ea0)) - (segment (start 96.11 40.386) (end 96.268 40.228) (width 0.25) (layer "F.Cu") (net 2) (tstamp bd93a954-70b1-49a7-8994-a06b08db8177)) - (segment (start 88.99601 44.67301) (end 88.99601 40.386) (width 0.25) (layer "F.Cu") (net 2) (tstamp bfd30271-73ec-4cb9-a2c7-1511a49e2837)) - (segment (start 90.805 46.482) (end 88.99601 44.67301) (width 0.25) (layer "F.Cu") (net 2) (tstamp 67dffe86-9a78-4033-8109-4c03dc9fec31)) - (segment (start 88.99601 40.386) (end 90.932 40.386) (width 0.25) (layer "F.Cu") (net 2) (tstamp a78b78da-ec7e-4acb-b681-4dc06b0f229b)) - (via (at 90.932 40.386) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ea56346-5ba1-4521-a093-672433db7d93)) - (segment (start 91.1098 31.877) (end 91.494201 32.261401) (width 0.25) (layer "B.Cu") (net 1) (tstamp b4c7a74b-92d6-42d7-9435-6ab8b8345b5e)) - (segment (start 91.494201 32.261401) (end 91.494201 37.142599) (width 0.25) (layer "B.Cu") (net 1) (tstamp 1b115068-2b63-4d80-a9a8-c9837460297f)) - (segment (start 91.494201 37.142599) (end 91.1098 37.527) (width 0.25) (layer "B.Cu") (net 1) (tstamp c6b55d9a-b04b-4efc-94e6-e93648486ea3)) - (segment (start 91.1098 31.877) (end 92.583 31.877) (width 0.25) (layer "B.Cu") (net 1) (tstamp 72a96e8a-0321-4f10-9a18-d05c82fef802)) - (segment (start 92.583 31.877) (end 92.837 31.623) (width 0.25) (layer "B.Cu") (net 1) (tstamp f4979c02-f7ee-4952-bda0-e2ae02a61565)) - (via (at 102.743 35.052) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 03817789-2ae7-4b6f-8776-70d30d5f6f8f)) - (segment (start 96.237 40.259) (end 96.268 40.228) (width 0.25) (layer "F.Cu") (net 2) (tstamp 8b7e1740-c55b-4179-ad22-6457972a94e7)) - (segment (start 94.107 32.385) (end 94.264 32.228) (width 0.25) (layer "F.Cu") (net 1) (tstamp 5c059e3a-8301-4a6d-84f1-e1ca2ee1798f)) - (segment (start 94.264 32.228) (end 96.268 32.228) (width 1) (layer "F.Cu") (net 1) (tstamp 4a29f486-54e8-4365-838f-a879417d8316)) - (segment (start 93.314 34.702) (end 94.107 33.909) (width 1) (layer "F.Cu") (net 1) (tstamp e8dcef29-3270-4e37-9d9f-403cd892117b)) - (segment (start 89.8652 34.702) (end 93.314 34.702) (width 1) (layer "F.Cu") (net 1) (tstamp bef0c5c6-128a-410f-b947-03c864137057)) - (segment (start 94.107 33.909) (end 94.107 32.385) (width 1) (layer "F.Cu") (net 1) (tstamp 0406c61b-96d0-4790-8a79-f574ce717eb3)) - (via (at 92.583 37.846) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 0) (tstamp 12c2c92f-0529-4638-a41f-4534bc4187f0)) - (via (at 92.583 31.877) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp dc22343d-0791-49fa-96b9-d70e71c353ee)) + (segment (start 92.583 31.369) (end 93.0148 30.9372) (width 0.25) (layer "F.Cu") (net 3) (tstamp 406bd569-c0e1-406f-9cdd-a02d95d21814)) + (segment (start 92.583 31.877) (end 92.583 31.369) (width 0.25) (layer "F.Cu") (net 3) (tstamp 5236e668-30fd-4571-bb3b-145e2671cba9)) + (segment (start 97.344 34.228) (end 99.2632 32.3088) (width 0.25) (layer "F.Cu") (net 3) (tstamp aa83b93d-6ac5-4857-a38b-bbed308b4c15)) + (segment (start 99.2632 32.3088) (end 99.344 32.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp c4e05532-572d-48db-a605-55d335972850)) + (segment (start 92.964 31.0896) (end 97.8408 31.0896) (width 0.5) (layer "F.Cu") (net 3) (tstamp d8f51761-ee50-4d78-93ce-45f79ec88810)) + (segment (start 97.8916 30.9372) (end 99.2632 32.3088) (width 0.25) (layer "F.Cu") (net 3) (tstamp 1e976c57-f4ef-45b8-a03c-53aa99da1c04)) + (segment (start 92.583 37.846) (end 92.583 37.211) (width 0.25) (layer "F.Cu") (net 3) (tstamp ade6e135-23ff-4c35-9911-f23d45dfb40c)) + (segment (start 92.583 37.211) (end 95.566 34.228) (width 0.5) (layer "F.Cu") (net 3) (tstamp bbe508c2-1659-483d-8759-d96e28cc2816)) + (segment (start 95.566 34.228) (end 96.268 34.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 50e8f587-ff11-44be-aaf8-26cdd3b6bebe)) + (segment (start 96.268 34.228) (end 96.201 34.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp e8b450ac-784f-4466-84d7-36b818695da6)) (segment (start 96.268 34.228) (end 95.768 34.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp d2f74356-b468-4ff3-8338-d9b2d28a05a7)) + (via (at 92.583 31.877) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp dc22343d-0791-49fa-96b9-d70e71c353ee)) + (via (at 92.583 37.846) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 12c2c92f-0529-4638-a41f-4534bc4187f0)) + (segment (start 94.107 33.909) (end 94.107 32.385) (width 1) (layer "F.Cu") (net 1) (tstamp 0406c61b-96d0-4790-8a79-f574ce717eb3)) + (segment (start 89.8652 34.702) (end 93.314 34.702) (width 1) (layer "F.Cu") (net 1) (tstamp bef0c5c6-128a-410f-b947-03c864137057)) + (segment (start 93.314 34.702) (end 94.107 33.909) (width 1) (layer "F.Cu") (net 1) (tstamp e8dcef29-3270-4e37-9d9f-403cd892117b)) + (segment (start 94.264 32.228) (end 96.268 32.228) (width 1) (layer "F.Cu") (net 1) (tstamp 4a29f486-54e8-4365-838f-a879417d8316)) + (segment (start 94.107 32.385) (end 94.264 32.228) (width 0.25) (layer "F.Cu") (net 1) (tstamp 5c059e3a-8301-4a6d-84f1-e1ca2ee1798f)) + (segment (start 96.237 40.259) (end 96.268 40.228) (width 0.25) (layer "F.Cu") (net 2) (tstamp 8b7e1740-c55b-4179-ad22-6457972a94e7)) + (via (at 102.743 35.052) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 03817789-2ae7-4b6f-8776-70d30d5f6f8f)) + (segment (start 92.583 31.877) (end 92.837 31.623) (width 0.25) (layer "B.Cu") (net 3) (tstamp f4979c02-f7ee-4952-bda0-e2ae02a61565)) + (segment (start 91.1098 31.877) (end 92.583 31.877) (width 0.25) (layer "B.Cu") (net 3) (tstamp 72a96e8a-0321-4f10-9a18-d05c82fef802)) + (segment (start 91.494201 37.142599) (end 91.1098 37.527) (width 0.25) (layer "B.Cu") (net 3) (tstamp c6b55d9a-b04b-4efc-94e6-e93648486ea3)) + (segment (start 91.494201 32.261401) (end 91.494201 37.142599) (width 0.25) (layer "B.Cu") (net 3) (tstamp 1b115068-2b63-4d80-a9a8-c9837460297f)) + (segment (start 91.1098 31.877) (end 91.494201 32.261401) (width 0.25) (layer "B.Cu") (net 3) (tstamp b4c7a74b-92d6-42d7-9435-6ab8b8345b5e)) + (via (at 90.932 40.386) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ea56346-5ba1-4521-a093-672433db7d93)) + (segment (start 88.99601 40.386) (end 90.932 40.386) (width 0.25) (layer "F.Cu") (net 2) (tstamp a78b78da-ec7e-4acb-b681-4dc06b0f229b)) + (segment (start 90.805 46.482) (end 88.99601 44.67301) (width 0.25) (layer "F.Cu") (net 2) (tstamp 67dffe86-9a78-4033-8109-4c03dc9fec31)) + (segment (start 88.99601 44.67301) (end 88.99601 40.386) (width 0.25) (layer "F.Cu") (net 2) (tstamp bfd30271-73ec-4cb9-a2c7-1511a49e2837)) + (segment (start 96.11 40.386) (end 96.268 40.228) (width 0.25) (layer "F.Cu") (net 2) (tstamp bd93a954-70b1-49a7-8994-a06b08db8177)) + (segment (start 90.932 40.386) (end 96.11 40.386) (width 0.25) (layer "F.Cu") (net 2) (tstamp a06f8478-8f28-413d-8b52-80c06d9b9ea0)) + (segment (start 97.567 40.228) (end 102.743 35.052) (width 0.25) (layer "F.Cu") (net 2) (tstamp 11eb5dc4-34d6-4e1a-b53f-700c403713fa)) + (segment (start 96.268 40.228) (end 97.567 40.228) (width 0.25) (layer "F.Cu") (net 2) (tstamp 1b94ee95-1e29-419c-b802-14e8608e77fa)) + (segment (start 90.932 43.18) (end 89.027 43.18) (width 0.25) (layer "B.Cu") (net 3) (tstamp 47d9a896-5a88-4aa9-afdf-79b26e570bb2)) + (segment (start 89.916 49.022) (end 90.805 49.022) (width 0.25) (layer "B.Cu") (net 3) (tstamp 33eefd43-27d6-4f69-b1c7-735ae9e0e9c5)) + (segment (start 89.027 48.133) (end 89.916 49.022) (width 0.25) (layer "B.Cu") (net 3) (tstamp aef3a3b2-7c0c-43a7-8408-5f00bb2b8a7f)) + (segment (start 89.027 43.18) (end 89.027 48.133) (width 0.25) (layer "B.Cu") (net 3) (tstamp 72c895ee-67d8-4e86-b8d7-cdd43ffaa224)) + (segment (start 93.853 49.149) (end 95.631 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 5630d098-9da3-4584-bd20-3eb3b2909112)) + (segment (start 90.805 46.482) (end 93.853 46.482) (width 0.25) (layer "F.Cu") (net 2) (tstamp 03bd9556-3d1a-4118-bba3-8e4d2c28da07)) + (segment (start 93.853 46.482) (end 93.853 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 71d63c53-fee3-4ee8-8d3e-38c5d5339ba8)) + (segment (start 90.932 43.18) (end 94.234 43.18) (width 0.25) (layer "B.Cu") (net 3) (tstamp b47856a8-8ece-4d6c-b3fb-8970fbba87aa)) + (segment (start 94.234 35.306) (end 95.19 35.306) (width 0.25) (layer "B.Cu") (net 3) (tstamp c2501879-7304-44d1-bc63-b0763e09c5e0)) + (segment (start 94.234 43.18) (end 94.234 35.306) (width 0.25) (layer "B.Cu") (net 3) (tstamp 337ec49e-6318-431f-a4c8-823772c01be7)) + (segment (start 99.344 32.228) (end 112.268 32.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 40b61627-fb87-4e26-be14-9c6364ef5da2)) + (segment (start 96.268 34.228) (end 97.344 34.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 07126343-6516-4de2-89b5-b3d8c5e946f2)) + (segment (start 94.742 51.689) (end 97.458 51.689) (width 0.25) (layer "F.Cu") (net 21) (tstamp df065ffb-c02b-447d-be18-92fb64a953e5)) + (segment (start 94.742 48.133) (end 94.742 49.874) (width 0.25) (layer "B.Cu") (net 21) (tstamp b9eb22b3-2da8-4fa2-b966-ee0a6011d57b)) + (segment (start 94.18 43.234) (end 94.742 43.796) (width 0.25) (layer "F.Cu") (net 21) (tstamp b46163bb-219a-4b81-9f08-b737c462d6ad)) + (via (at 94.742 49.874) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 21) (tstamp 3e36c66d-64cc-45bf-aaae-f93ae26008f3)) + (segment (start 94.742 43.796) (end 94.742 48.133) (width 0.25) (layer "F.Cu") (net 21) (tstamp e68de2ef-3043-4cf3-9fcb-46a9d828d16d)) + (via (at 94.742 48.133) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 21) (tstamp a05e6155-8222-4fb0-b2b4-9721b267921f)) + (segment (start 94.742 49.874) (end 94.742 51.689) (width 0.25) (layer "F.Cu") (net 21) (tstamp e966eee8-f4d3-479c-8c8c-3f39236cf6da)) + (via (at 106.807 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 1bc9f1c1-960a-4b10-a8e5-2d7ea304335c)) + (segment (start 94.361 43.053) (end 94.234 43.18) (width 0.25) (layer "B.Cu") (net 3) (tstamp 3448fbc5-ba60-466a-9e75-07c42e6fc6ce)) + (segment (start 106.807 43.053) (end 94.361 43.053) (width 0.25) (layer "B.Cu") (net 3) (tstamp e24f7598-a7f4-4e7d-8244-6dcf89919eb2)) + (segment (start 106.807 49.149) (end 106.807 43.053) (width 0.25) (layer "B.Cu") (net 3) (tstamp 3fdc1e7d-d9b2-428c-b96d-5d0f9bc4b9a8)) + (segment (start 93.78 43.234) (end 94.18 43.234) (width 0.25) (layer "F.Cu") (net 21) (tstamp 41c37e4b-a815-447e-bd01-6f7a1ad6504b)) + (segment (start 90.932 43.234) (end 93.78 43.234) (width 0.25) (layer "F.Cu") (net 21) (tstamp 851c4317-9aff-482a-af75-4e94267d4f8a)) + (segment (start 94.234 43.18) (end 94.18 43.234) (width 0.25) (layer "F.Cu") (net 21) (tstamp ed4c9343-ede9-4bfa-84c2-7c3059a35af3)) + (segment (start 100.71 38.228) (end 97.79 41.148) (width 0.25) (layer "F.Cu") (net 21) (tstamp bfe1e291-096c-4a6c-8bbc-61282c0445ce)) + (segment (start 112.268 38.228) (end 100.71 38.228) (width 0.25) (layer "F.Cu") (net 21) (tstamp ab76a6e3-88b8-4383-bae1-bbf77a160990)) + (segment (start 94.234 41.148) (end 94.234 43.18) (width 0.25) (layer "F.Cu") (net 21) (tstamp 2a4e4a28-0a34-4b4e-979d-51ba5bab97df)) + (segment (start 97.79 41.148) (end 94.234 41.148) (width 0.25) (layer "F.Cu") (net 21) (tstamp 4b2f8178-efd2-4a07-b466-5945288d6c0f)) + (segment (start 98.483 50.419) (end 97.458 50.419) (width 0.25) (layer "F.Cu") (net 24) (tstamp d2aad639-4685-460a-b12f-c7fe0efad049)) + (segment (start 99.187 49.715) (end 98.483 50.419) (width 0.25) (layer "F.Cu") (net 24) (tstamp d07e7cdf-d3bb-4251-985a-7ba65b2d0dd6)) + (segment (start 96.268 42.228) (end 98.996 42.228) (width 0.25) (layer "F.Cu") (net 24) (tstamp 0c43c976-a2ea-43b8-9f7e-a2f755c85b7d)) + (segment (start 98.996 42.228) (end 99.187 42.419) (width 0.25) (layer "F.Cu") (net 24) (tstamp 08281647-a0a9-485e-900b-8f0140b02960)) + (segment (start 112.268 49.149) (end 112.268 46.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 2271c560-0ae0-4037-9ecf-588f99a6e5b2)) + (segment (start 106.807 49.149) (end 112.268 49.149) (width 0.25) (layer "F.Cu") (net 3) (tstamp f3c78127-0fb2-4a47-ad0a-cf5edf4a8034)) + (via (at 112.268 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp dbe0ae20-ef8a-4d5a-9f38-d25728b0c081)) + (via (at 112.649 55.245) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp ace21242-94db-4aea-ac05-9964286fcf6a)) + (via (at 112.649 59.182) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp a4f1a4dc-5d42-4d7b-aba8-b4d3486cf5a9)) + (segment (start 112.268 54.356) (end 112.649 54.737) (width 0.25) (layer "B.Cu") (net 3) (tstamp ad731ee5-ba2f-44fa-96a6-7323d8264e32)) + (segment (start 112.268 49.149) (end 112.268 54.356) (width 0.25) (layer "B.Cu") (net 3) (tstamp bb49182c-1270-4b9e-a8ce-500a6c5fb7c5)) + (segment (start 91.948 52.959) (end 90.805 54.102) (width 0.25) (layer "F.Cu") (net 10) (tstamp d65e5a21-5ba2-430c-be70-54a712063f8a)) + (segment (start 97.458 52.959) (end 91.948 52.959) (width 0.25) (layer "F.Cu") (net 10) (tstamp b6e98e86-faba-4da2-abc9-f816a1f37610)) + (segment (start 91.948 55.499) (end 90.805 56.642) (width 0.25) (layer "F.Cu") (net 15) (tstamp cb9a356c-c12f-480f-95c3-8da8ae07bddc)) + (segment (start 97.458 55.499) (end 91.948 55.499) (width 0.25) (layer "F.Cu") (net 15) (tstamp d0160b30-b4b6-4f88-92b6-f5bdb3d9cc8d)) + (segment (start 92.456 57.531) (end 90.805 59.182) (width 0.25) (layer "F.Cu") (net 16) (tstamp 856a2b1f-8c04-43a4-a098-ed21a0c9a5f5)) + (segment (start 92.456 56.769) (end 92.456 57.531) (width 0.25) (layer "F.Cu") (net 16) (tstamp f30b980e-7c57-41d4-85b9-028a6c68c10a)) + (segment (start 97.458 56.769) (end 92.456 56.769) (width 0.25) (layer "F.Cu") (net 16) (tstamp 649ad3e1-62e3-4a06-b1dd-2a276c99e6b0)) + (segment (start 92.583 59.944) (end 90.805 61.722) (width 0.25) (layer "F.Cu") (net 5) (tstamp fe4f1f2c-f171-4542-812f-41d6e5638f32)) + (segment (start 92.583 58.42) (end 92.583 59.944) (width 0.25) (layer "F.Cu") (net 5) (tstamp dd713b93-12fb-44e4-95cc-59b76624a1eb)) + (segment (start 97.458 58.039) (end 92.964 58.039) (width 0.25) (layer "F.Cu") (net 5) (tstamp dc026ce9-5a31-4d6f-9250-5c2aba169606)) + (segment (start 92.964 58.039) (end 92.583 58.42) (width 0.25) (layer "F.Cu") (net 5) (tstamp 4e694701-df0a-4baa-b4e9-62148510eeb0)) + (segment (start 91.948 63.119) (end 90.805 64.262) (width 0.25) (layer "F.Cu") (net 6) (tstamp 7afb5cbb-1c3f-4703-90fc-546c17b413bc)) + (segment (start 93.091 59.309) (end 93.091 61.214) (width 0.25) (layer "F.Cu") (net 6) (tstamp 299676be-47f9-47d7-b056-0cdb7d5d45e6)) + (segment (start 91.948 62.357) (end 91.948 63.119) (width 0.25) (layer "F.Cu") (net 6) (tstamp bb46b5a9-d1b7-4bc0-8e8a-0f68a82b798b)) + (segment (start 97.458 59.309) (end 93.091 59.309) (width 0.25) (layer "F.Cu") (net 6) (tstamp 98e35f3e-7699-4400-b65b-0e5c6f96ac03)) + (segment (start 93.091 61.214) (end 91.948 62.357) (width 0.25) (layer "F.Cu") (net 6) (tstamp 3e10dcc1-6582-4cb2-a4b1-0d3dff9b8dfe)) + (segment (start 92.456 65.151) (end 90.805 66.802) (width 0.25) (layer "F.Cu") (net 7) (tstamp 29562852-39cb-44b2-9f77-c5720b41d78b)) + (segment (start 92.456 62.611) (end 92.456 65.151) (width 0.25) (layer "F.Cu") (net 7) (tstamp e4073713-26ac-4a7a-aa2d-7e7369c1ff13)) + (segment (start 93.599 60.579) (end 93.599 61.468) (width 0.25) (layer "F.Cu") (net 7) (tstamp 84a49ac7-b41c-4b7c-a9e9-212b6152adb1)) + (segment (start 93.599 61.468) (end 92.456 62.611) (width 0.25) (layer "F.Cu") (net 7) (tstamp 4b3158a0-beb3-4d95-8698-44c9cc52de66)) + (segment (start 97.458 60.579) (end 93.599 60.579) (width 0.25) (layer "F.Cu") (net 7) (tstamp 0274cdc2-a16c-47e7-aab4-85352e74c876)) + (segment (start 95.631 49.149) (end 97.458 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 5630d098-9da3-4584-bd20-3eb3b2909112)) + (via (at 95.631 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0ccc2490-f09f-414b-9bb4-6f730bfe8a14)) + (segment (start 95.631 64.135) (end 95.504 64.262) (width 0.25) (layer "B.Cu") (net 2) (tstamp 6cc4e45e-64f4-40e2-b29c-3291c048943d)) + (segment (start 95.631 49.149) (end 95.631 64.135) (width 0.25) (layer "B.Cu") (net 2) (tstamp 8970a571-e06b-4fb5-8ff8-441e2f5868aa)) + (segment (start 93.345 51.562) (end 90.805 51.562) (width 0.25) (layer "B.Cu") (net 9) (tstamp fcf3c46c-3c44-462e-b445-72d9d5fd6094)) + (via (at 93.345 54.102) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 9) (tstamp 3b4ce584-feba-4911-adef-b1b75477503e)) + (segment (start 93.472 54.229) (end 93.345 54.102) (width 0.25) (layer "F.Cu") (net 9) (tstamp 310e07e8-0994-4003-80ba-4a37b80c3dc1)) + (segment (start 97.458 54.229) (end 93.472 54.229) (width 0.25) (layer "F.Cu") (net 9) (tstamp b51ffef3-3067-4617-939d-1389bb5390e1)) + (segment (start 93.345 54.102) (end 93.345 51.562) (width 0.25) (layer "B.Cu") (net 9) (tstamp 00155fc6-7f50-4b63-9963-7197ebdaf4cd)) + (segment (start 98.933 51.689) (end 101.727 51.689) (width 0.25) (layer "F.Cu") (net 20) (tstamp f3979f04-e0c0-47e5-a7fb-e6fe7eef4ec0)) + (segment (start 95.504 66.802) (end 96.679001 65.626999) (width 0.25) (layer "F.Cu") (net 20) (tstamp e3db2107-efde-4887-ab09-aa23925cc1f2)) + (segment (start 96.679001 65.626999) (end 96.679001 62.324999) (width 0.25) (layer "F.Cu") (net 20) (tstamp f4524964-c137-4f2a-8bca-e86e3090bb2b)) + (segment (start 97.028 61.976) (end 97.756044 61.976) (width 0.25) (layer "F.Cu") (net 20) (tstamp fe05e9af-b9b9-49ed-b502-f5057e4c7908)) + (segment (start 96.679001 62.324999) (end 97.028 61.976) (width 0.25) (layer "F.Cu") (net 20) (tstamp a3c08a94-7294-4d1f-be77-0df762839593)) + (segment (start 97.756044 61.976) (end 98.933 61.976) (width 0.25) (layer "F.Cu") (net 20) (tstamp 7ee8ee38-ae40-449a-9ab0-4d9b7b6a5388)) + (segment (start 98.933 61.976) (end 98.933 51.689) (width 0.25) (layer "F.Cu") (net 20) (tstamp f6945956-8f45-404c-9174-eb968a504a81)) + (segment (start 99.441 62.865) (end 98.044 64.262) (width 0.25) (layer "F.Cu") (net 18) (tstamp fa2c1c87-0d38-46e1-8dda-fcc8d7e77918)) + (segment (start 99.441 52.959) (end 99.441 62.865) (width 0.25) (layer "F.Cu") (net 18) (tstamp 518fa321-473c-4c64-ac9d-b6b7f4627044)) + (segment (start 106.758 52.959) (end 102.997 52.959) (width 0.25) (layer "F.Cu") (net 18) (tstamp a807e93a-b802-4754-8630-2d4241f95528)) + (segment (start 106.631 54.356) (end 106.758 54.229) (width 0.25) (layer "F.Cu") (net 17) (tstamp e45b3f93-7f39-492d-aac4-4f7831d12468)) + (segment (start 102.743 54.356) (end 106.631 54.356) (width 0.25) (layer "F.Cu") (net 17) (tstamp 922b6e5e-cd92-452d-9966-03491aceb90f)) + (segment (start 100.584 66.802) (end 102.743 64.643) (width 0.25) (layer "F.Cu") (net 17) (tstamp 4e3043c7-3ae2-47d7-905e-991ab0b785d4)) + (segment (start 102.743 64.643) (end 102.743 54.356) (width 0.25) (layer "F.Cu") (net 17) (tstamp 4ea95216-251c-4ed7-9cf5-d5ba13a351ab)) + (segment (start 102.743 62.103) (end 100.584 64.262) (width 0.25) (layer "B.Cu") (net 3) (tstamp 95588157-c1f0-400b-92c4-8fa699f2220e)) + (segment (start 102.743 59.817) (end 102.743 62.103) (width 0.25) (layer "B.Cu") (net 3) (tstamp 77426bb2-65a7-4522-a9af-2b678dcd5ebd)) + (segment (start 102.743 59.817) (end 112.649 59.817) (width 0.25) (layer "B.Cu") (net 3) (tstamp 2567d42c-d5a2-46d6-9d50-a3944ed25933)) + (segment (start 99.219001 63.887997) (end 99.219001 65.626999) (width 0.25) (layer "F.Cu") (net 19) (tstamp 0502891a-b91e-44d0-bcdf-49e37e4e3fe6)) + (segment (start 100.584 62.522998) (end 99.219001 63.887997) (width 0.25) (layer "F.Cu") (net 19) (tstamp dfb67a28-4e22-4c80-8e39-1ce99ebedca7)) + (segment (start 100.584 53.848) (end 100.584 62.522998) (width 0.25) (layer "F.Cu") (net 19) (tstamp dcfe7f3b-d487-4993-afb2-a8e6c53edea6)) + (segment (start 99.219001 65.626999) (end 98.044 66.802) (width 0.25) (layer "F.Cu") (net 19) (tstamp e340e22d-de53-4fd7-9b02-ed26af1c90c1)) + (segment (start 101.727 51.689) (end 103.378 51.689) (width 0.25) (layer "F.Cu") (net 20) (tstamp f3979f04-e0c0-47e5-a7fb-e6fe7eef4ec0)) + (segment (start 102.997 52.959) (end 99.441 52.959) (width 0.25) (layer "F.Cu") (net 18) (tstamp a807e93a-b802-4754-8630-2d4241f95528)) + (via (at 104.521 52.959) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18) (tstamp b5e8ed3f-53fc-4b59-8ba5-37d31da983ce)) + (via (at 104.521 44.196) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18) (tstamp c89850ff-1839-4b82-9a7c-966e775cc70f)) + (segment (start 112.236 44.196) (end 112.268 44.228) (width 0.25) (layer "F.Cu") (net 18) (tstamp 3ab422d9-0c19-4201-a4b4-9f0074b0f538)) + (segment (start 103.378 65.659) (end 104.394 66.675) (width 0.25) (layer "F.Cu") (net 11) (tstamp 22507ce2-e6f2-4cad-a84a-afcc594f6a4a)) + (segment (start 103.378 55.499) (end 103.378 65.659) (width 0.25) (layer "F.Cu") (net 11) (tstamp b0adaddd-4edd-4e5f-99e0-321a9534d2e2)) + (segment (start 106.758 55.499) (end 103.378 55.499) (width 0.25) (layer "F.Cu") (net 11) (tstamp 5653aeef-4ee3-460e-83e9-3648cad02d5a)) + (segment (start 105.029 64.77) (end 106.934 66.675) (width 0.25) (layer "F.Cu") (net 12) (tstamp a5ae68b7-6809-429d-99a4-8ba77bb7de74)) + (segment (start 106.758 56.769) (end 104.013 56.769) (width 0.25) (layer "F.Cu") (net 12) (tstamp 15dc3f52-3539-4410-ada9-0df30f7bb784)) + (segment (start 104.013 64.77) (end 105.029 64.77) (width 0.25) (layer "F.Cu") (net 12) (tstamp 69159ebe-2030-4912-9dea-f4ca3b1cb5a2)) + (segment (start 104.013 56.769) (end 104.013 64.77) (width 0.25) (layer "F.Cu") (net 12) (tstamp c8b7203b-807b-4b1f-8bd8-83e21738900d)) + (segment (start 106.934 64.135) (end 109.474 66.675) (width 0.25) (layer "F.Cu") (net 13) (tstamp 22074e62-3e03-490a-bb8e-ea448580f5df)) + (segment (start 104.521 64.135) (end 106.934 64.135) (width 0.25) (layer "F.Cu") (net 13) (tstamp 8e35077f-7c51-420e-9b7d-b70c24ccea2f)) + (segment (start 105.733 58.039) (end 104.521 58.039) (width 0.25) (layer "F.Cu") (net 13) (tstamp b6c307c2-25c9-4f9a-bc89-e1b523a16ec7)) + (segment (start 106.758 58.039) (end 105.733 58.039) (width 0.25) (layer "F.Cu") (net 13) (tstamp d0b8119c-5220-43f9-9aff-03074cf867b7)) + (segment (start 104.521 58.039) (end 104.521 64.135) (width 0.25) (layer "F.Cu") (net 13) (tstamp 0a16ad79-c189-4f4d-8b73-bf275174ed26)) + (segment (start 108.839 63.5) (end 112.014 66.675) (width 0.25) (layer "F.Cu") (net 14) (tstamp cc608e76-395b-42ac-a0e8-0dc46214d20e)) + (segment (start 106.045 63.5) (end 108.839 63.5) (width 0.25) (layer "F.Cu") (net 14) (tstamp 2ca5d4e7-aeb7-4a63-8165-a03cd05ff74f)) + (segment (start 105.40799 62.86299) (end 106.045 63.5) (width 0.25) (layer "F.Cu") (net 14) (tstamp 20b8e87f-d25d-493e-9bc2-839c4b5db3fd)) + (segment (start 105.40799 59.63401) (end 105.40799 62.86299) (width 0.25) (layer "F.Cu") (net 14) (tstamp fed42cba-c676-4f91-9442-c47b2b2403eb)) + (segment (start 105.733 59.309) (end 105.40799 59.63401) (width 0.25) (layer "F.Cu") (net 14) (tstamp dd0a8bc7-b843-4127-93ec-be7c0e250243)) + (segment (start 106.758 59.309) (end 105.733 59.309) (width 0.25) (layer "F.Cu") (net 14) (tstamp 2aad665d-acb8-4509-8a03-c349eee3748c)) + (segment (start 111.125 52.7955) (end 112.6125 51.308) (width 0.25) (layer "F.Cu") (net 8) (tstamp a0027806-df2f-4555-b5de-2b9fa8e8e08d)) + (segment (start 111.125 60.579) (end 111.125 52.7955) (width 0.25) (layer "F.Cu") (net 8) (tstamp 00301aef-5178-4eab-8a24-d67127856e79)) + (segment (start 106.758 60.579) (end 111.125 60.579) (width 0.25) (layer "F.Cu") (net 8) (tstamp 51b4e2ea-bde2-4196-ab5b-4e6c79d43ff0)) + (segment (start 109.6375 54.7005) (end 109.674 54.737) (width 0.25) (layer "F.Cu") (net 4) (tstamp 4c89ecac-4bc8-405c-a673-3e04cd926bd5)) + (segment (start 109.6375 51.308) (end 109.6375 54.7005) (width 0.25) (layer "F.Cu") (net 4) (tstamp 20bbb62a-263c-4e61-ac6d-a9fa38973358)) + (segment (start 108.331 57.023) (end 108.331 51.566956) (width 0.25) (layer "F.Cu") (net 2) (tstamp 3540ced7-f9c3-46da-a76f-c98fc38d9b00)) + (segment (start 104.553 44.228) (end 104.521 44.196) (width 0.25) (layer "F.Cu") (net 18) (tstamp b005edb7-d540-4ce4-b7ec-608734bc7ad4)) + (segment (start 112.268 44.228) (end 104.553 44.228) (width 0.25) (layer "F.Cu") (net 18) (tstamp 23aaadef-3d2f-466d-b8ce-25a6d232fe93)) + (segment (start 104.521 44.196) (end 104.521 52.959) (width 0.25) (layer "B.Cu") (net 18) (tstamp b36eb5df-8b7e-463b-8418-b4e3fd46c610)) + (segment (start 103.378 51.689) (end 106.758 51.689) (width 0.25) (layer "F.Cu") (net 20) (tstamp f3979f04-e0c0-47e5-a7fb-e6fe7eef4ec0)) + (via (at 103.378 51.689) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp 3badbc2e-3b23-416b-b0e8-a9d5a17f96ce)) + (segment (start 112.204 42.164) (end 112.268 42.228) (width 0.25) (layer "F.Cu") (net 20) (tstamp 124fc8d9-09fb-4698-ba62-dc8062ab3735)) + (via (at 103.378 44.196) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp 1093996d-ee04-4c59-9b74-b3c7d06a3b43)) + (segment (start 103.378 42.164) (end 112.204 42.164) (width 0.25) (layer "F.Cu") (net 20) (tstamp dd18b1c3-6ecc-48c8-a863-d2d684c73518)) + (segment (start 103.378 51.689) (end 103.378 44.196) (width 0.25) (layer "B.Cu") (net 20) (tstamp 47ca26f5-26bc-4771-bfa1-41bcb71f0d2e)) + (segment (start 103.378 44.196) (end 103.378 42.164) (width 0.25) (layer "F.Cu") (net 20) (tstamp aef61fbb-df18-4a45-896e-215708dd5a61)) + (via (at 97.458 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp c5388831-02e2-42ac-8b12-ce70b0f209eb)) + (segment (start 112.268 40.228) (end 102.647 40.228) (width 0.25) (layer "F.Cu") (net 19) (tstamp eefb652b-89b8-4a51-8066-03e63fc0bf4f)) + (segment (start 102.489 40.386) (end 102.489 48.895) (width 0.25) (layer "F.Cu") (net 19) (tstamp 00afc1bb-9caa-4b1e-9e2f-f54d0690c34c)) + (segment (start 102.647 40.228) (end 102.489 40.386) (width 0.25) (layer "F.Cu") (net 19) (tstamp 6b89d105-e01e-483c-bce6-d07439ed7f96)) + (segment (start 102.489 48.895) (end 102.743 49.149) (width 0.25) (layer "F.Cu") (net 19) (tstamp c6815ebe-ad6a-44c1-b223-ae60e7a73b46)) + (via (at 102.653 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 19) (tstamp 9beaeddd-5362-4c43-be98-81821b6d09e4)) + (segment (start 102.235 49.567) (end 102.653 49.149) (width 0.25) (layer "B.Cu") (net 19) (tstamp aa55f531-1a6d-4afa-8e92-2e1e8468e5ed)) + (segment (start 100.584 53.848) (end 102.071 53.848) (width 0.25) (layer "F.Cu") (net 19) (tstamp 774265cd-067a-4d7e-aeb3-5414445e91e9)) + (segment (start 102.235 53.684) (end 102.235 49.567) (width 0.25) (layer "B.Cu") (net 19) (tstamp 1a64a983-ce78-4daf-93b5-91efa3e9ee95)) + (segment (start 102.071 53.848) (end 102.235 53.684) (width 0.25) (layer "F.Cu") (net 19) (tstamp 6d6b5d9e-37b9-42eb-96fe-69026ed4c6d2)) + (via (at 102.235 53.684) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 19) (tstamp d9565cc2-0ba7-414e-a6a4-0e807f0dbfe7)) + (segment (start 106.34 50.001) (end 106.758 50.419) (width 0.25) (layer "F.Cu") (net 19) (tstamp 7eba39af-631f-4e0d-8385-1b1da86b8d03)) + (segment (start 103.505 50.001) (end 106.34 50.001) (width 0.25) (layer "F.Cu") (net 19) (tstamp 3f03d797-0591-4e53-8b83-01bd06f7da39)) + (segment (start 102.653 49.149) (end 103.505 50.001) (width 0.25) (layer "F.Cu") (net 19) (tstamp 2f969c31-1a1a-41ca-a6db-21c1dfa3ad26)) + (segment (start 100.711 49.149) (end 97.458 49.149) (width 0.25) (layer "B.Cu") (net 2) (tstamp 4ee99b74-4c11-477d-b5c5-ad6487c74785)) + (segment (start 107.818044 51.054) (end 103.816002 51.054) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4882dbfd-7e1c-4fb0-8a98-678ea878a6dc)) + (segment (start 103.181002 50.419) (end 101.981 50.419) (width 0.25) (layer "F.Cu") (net 2) (tstamp 61ef18d4-5a1c-462d-a9bc-918e00a77de2)) + (segment (start 101.981 50.419) (end 100.711 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 50f06ddc-d7b2-4fb7-9e21-27e8c8c60447)) + (segment (start 103.816002 51.054) (end 103.181002 50.419) (width 0.25) (layer "F.Cu") (net 2) (tstamp 2495e8cd-2fe0-4cfd-8708-041809d76522)) + (via (at 100.711 49.149) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7f1ae65c-c9d9-4efd-83ab-39f455dc226f)) + (segment (start 108.331 57.966) (end 109.547 59.182) (width 0.25) (layer "F.Cu") (net 2) (tstamp d93c321b-4f7f-4850-8fd7-3e2d6be22ca4)) + (segment (start 108.331 57.023) (end 108.331 57.966) (width 0.25) (layer "F.Cu") (net 2) (tstamp d7fbd04d-8de8-4aa3-901d-d04e97031dde)) + (segment (start 112.649 54.737) (end 112.649 59.182) (width 0.25) (layer "B.Cu") (net 3) (tstamp 787ff446-b594-45b6-a36f-5753ed60c8bd)) + (segment (start 108.331 51.4604) (end 107.9246 51.054) (width 0.25) (layer "F.Cu") (net 2) (tstamp f6474119-a840-466f-904c-88f70b37d16f)) + (segment (start 107.9246 51.054) (end 107.818044 51.054) (width 0.25) (layer "F.Cu") (net 2) (tstamp be748ca2-d9f9-4a9f-a96f-41da382976bc)) + (segment (start 108.331 51.566956) (end 108.331 51.4604) (width 0.25) (layer "F.Cu") (net 2) (tstamp 0b08643c-4585-43cc-a0e1-137d7a73ad8c)) + (segment (start 99.187 42.419) (end 99.187 49.715) (width 0.25) (layer "F.Cu") (net 24) (tstamp e31f9485-756f-420d-894e-e51feac6ad5d)) - (zone (net 3) (net_name "GND") (layer "F.Cu") (tstamp fe482f96-022f-43b7-a444-a3f9401b20bb) (hatch edge 0.508) + (zone (net 3) (net_name "GND") (layer "F.Cu") (tstamp 42700633-e1b9-4a9f-87e1-baa80d016bbd) (hatch edge 0.508) (connect_pads (clearance 0.508)) (min_thickness 0.254) (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) (polygon (pts - (xy 113.919 68.9356) (xy 88.646 68.8594) (xy 88.646 30.5562) (xy 88.6968 30.5054) (xy 114.173 30.48) + (xy 88.6968 30.48) (xy 114.046 30.607) (xy 113.919 68.8594) (xy 88.7222 68.9356) (xy 88.5952 30.4292) ) ) (filled_polygon @@ -1170,22 +1184,182 @@ ) ) ) - (zone (net 0) (net_name "") (layer "F.Cu") (tstamp c252db9e-b184-4458-bfc2-f5d917f21de4) (hatch edge 0.508) + (zone (net 3) (net_name "GND") (layer "B.Cu") (tstamp 5f0f85a0-5cb6-48a8-a628-035d315a3db2) (hatch edge 0.508) (connect_pads (clearance 0.508)) (min_thickness 0.254) (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) (polygon (pts - (xy 113.9952 68.8848) (xy 88.4682 68.8848) (xy 88.4682 30.5054) (xy 88.5952 30.4292) (xy 114.046 30.4292) + (xy 114.0206 30.5054) (xy 113.9952 68.834) (xy 88.7222 68.7832) (xy 88.646 30.48) ) ) (filled_polygon (pts - (xy 89.313163 46.066377) (xy 89.313163 47.340258) (xy 89.315319 47.356634) (xy 89.390137 47.635859) (xy 89.40288 47.660339) - (xy 89.53399 47.81659) (xy 89.550879 47.830761) (xy 89.72512 47.931359) (xy 89.745837 47.9389) (xy 89.782029 47.945282) - (xy 89.657029 48.073822) (xy 89.650263 48.082074) (xy 89.513683 48.280798) (xy 89.508403 48.290073) (xy 89.40726 48.508968) - (xy 89.403618 48.519) (xy 89.340802 48.751807) (xy 89.338903 48.762309) (xy 89.316211 49.002372) (xy 89.316109 49.013043) - (xy 89.334188 49.253497) (xy 89.335885 49.264034) (xy 89.394221 49.498005) (xy 89.397669 49.508104) (xy 89.494591 49.7289) + (xy 100.343641 31.14512) (xy 100.3361 31.165837) (xy 100.302122 31.358538) (xy 100.301163 31.369499) (xy 100.301163 38.483258) + (xy 100.303319 38.499634) (xy 100.378137 38.778859) (xy 100.39088 38.803339) (xy 100.52199 38.95959) (xy 100.538879 38.973761) + (xy 100.71312 39.074359) (xy 100.733837 39.0819) (xy 100.926538 39.115878) (xy 100.937499 39.116837) (xy 104.551258 39.116837) + (xy 104.567634 39.114681) (xy 104.846859 39.039863) (xy 104.871339 39.02712) (xy 105.02759 38.89601) (xy 105.041761 38.879121) + (xy 105.142359 38.70488) (xy 105.1499 38.684163) (xy 105.183878 38.491462) (xy 105.184837 38.480501) (xy 105.184837 31.366742) + (xy 105.182681 31.350366) (xy 105.119347 31.114) (xy 113.412 31.114) (xy 113.412001 65.618753) (xy 113.28501 65.46741) + (xy 113.268121 65.453239) (xy 113.09388 65.352641) (xy 113.073163 65.3451) (xy 112.880462 65.311122) (xy 112.869501 65.310163) + (xy 111.155742 65.310163) (xy 111.139366 65.312319) (xy 110.860142 65.387137) (xy 110.835662 65.39988) (xy 110.67941 65.53099) + (xy 110.665239 65.547879) (xy 110.564641 65.72212) (xy 110.5571 65.742837) (xy 110.551521 65.774479) (xy 110.547647 65.770417) + (xy 110.367049 65.610638) (xy 110.358486 65.604267) (xy 110.153549 65.477202) (xy 110.144036 65.472365) (xy 109.920619 65.381645) + (xy 109.910427 65.37848) (xy 109.674919 65.3267) (xy 109.66434 65.325298) (xy 109.423475 65.313939) (xy 109.412809 65.314339) + (xy 109.173474 65.343727) (xy 109.16303 65.345918) (xy 108.932068 65.41521) (xy 108.922142 65.41913) (xy 108.706155 65.526346) + (xy 108.697032 65.531882) (xy 108.502199 65.673957) (xy 108.494138 65.680952) (xy 108.326029 65.853822) (xy 108.319263 65.862074) + (xy 108.203229 66.030903) (xy 108.172067 65.974916) (xy 108.166107 65.966064) (xy 108.015013 65.77814) (xy 108.007647 65.770417) + (xy 107.827049 65.610638) (xy 107.818486 65.604267) (xy 107.613549 65.477202) (xy 107.604036 65.472365) (xy 107.380619 65.381645) + (xy 107.370427 65.37848) (xy 107.134919 65.3267) (xy 107.12434 65.325298) (xy 106.883475 65.313939) (xy 106.872809 65.314339) + (xy 106.633474 65.343727) (xy 106.62303 65.345918) (xy 106.392068 65.41521) (xy 106.382142 65.41913) (xy 106.166155 65.526346) + (xy 106.157032 65.531882) (xy 105.962199 65.673957) (xy 105.954138 65.680952) (xy 105.786029 65.853822) (xy 105.779263 65.862074) + (xy 105.663229 66.030903) (xy 105.632067 65.974916) (xy 105.626107 65.966064) (xy 105.475013 65.77814) (xy 105.467647 65.770417) + (xy 105.287049 65.610638) (xy 105.278486 65.604267) (xy 105.073549 65.477202) (xy 105.064036 65.472365) (xy 104.840619 65.381645) + (xy 104.830427 65.37848) (xy 104.594919 65.3267) (xy 104.58434 65.325298) (xy 104.343475 65.313939) (xy 104.332809 65.314339) + (xy 104.093474 65.343727) (xy 104.08303 65.345918) (xy 103.852068 65.41521) (xy 103.842142 65.41913) (xy 103.626155 65.526346) + (xy 103.617032 65.531882) (xy 103.422199 65.673957) (xy 103.414138 65.680952) (xy 103.246029 65.853822) (xy 103.239263 65.862074) + (xy 103.102683 66.060798) (xy 103.097403 66.070073) (xy 102.99626 66.288968) (xy 102.992618 66.299) (xy 102.929802 66.531807) + (xy 102.927903 66.542309) (xy 102.905211 66.782372) (xy 102.905109 66.793043) (xy 102.923188 67.033497) (xy 102.924885 67.044034) + (xy 102.983221 67.278005) (xy 102.986669 67.288104) (xy 103.083591 67.5089) (xy 103.088692 67.518275) (xy 103.22143 67.719584) + (xy 103.228038 67.727965) (xy 103.392798 67.904032) (xy 103.400722 67.91118) (xy 103.592792 68.056968) (xy 103.601808 68.062679) + (xy 103.815696 68.174021) (xy 103.825545 68.178131) (xy 103.893659 68.2) (xy 101.083161 68.2) (xy 101.228387 68.144253) + (xy 101.237991 68.1396) (xy 101.44533 68.016491) (xy 101.454012 68.010286) (xy 101.637645 67.854004) (xy 101.645158 67.846424) + (xy 101.799833 67.661435) (xy 101.805962 67.652698) (xy 101.927256 67.444294) (xy 101.931825 67.43465) (xy 102.016272 67.208787) + (xy 102.019151 67.198511) (xy 102.064392 66.961351) (xy 102.065507 66.950128) (xy 102.068077 66.682341) (xy 102.067178 66.671099) + (xy 102.026499 66.433114) (xy 102.023817 66.422784) (xy 101.943723 66.195343) (xy 101.939339 66.185612) (xy 101.822067 65.974916) + (xy 101.816107 65.966064) (xy 101.665013 65.77814) (xy 101.657647 65.770417) (xy 101.477049 65.610638) (xy 101.468486 65.604267) + (xy 101.351888 65.531973) (xy 101.44533 65.476491) (xy 101.454012 65.470286) (xy 101.637645 65.314004) (xy 101.645158 65.306424) + (xy 101.799833 65.121435) (xy 101.805962 65.112698) (xy 101.927256 64.904294) (xy 101.931825 64.89465) (xy 102.016272 64.668787) + (xy 102.019151 64.658511) (xy 102.052943 64.481369) (xy 101.977356 64.39) (xy 100.456 64.389999) (xy 100.456001 64.134) + (xy 101.976863 64.134001) (xy 102.052373 64.044488) (xy 102.046279 64.008837) (xy 102.541191 64.008837) (xy 102.615 63.935028) + (xy 102.870999 63.935028) (xy 102.944808 64.008837) (xy 104.551258 64.008837) (xy 104.567634 64.006681) (xy 104.846859 63.931863) + (xy 104.871339 63.91912) (xy 105.02759 63.78801) (xy 105.041761 63.771121) (xy 105.142359 63.59688) (xy 105.1499 63.576163) + (xy 105.183878 63.383462) (xy 105.184837 63.372501) (xy 105.184837 60.018809) (xy 105.111028 59.945) (xy 102.944809 59.944999) + (xy 102.871 60.018808) (xy 102.870999 63.935028) (xy 102.615 63.935028) (xy 102.615001 60.018809) (xy 102.541192 59.945) + (xy 100.374972 59.944999) (xy 100.301163 60.018808) (xy 100.301163 62.801555) (xy 100.283474 62.803727) (xy 100.273029 62.805918) + (xy 100.042068 62.87521) (xy 100.032142 62.87913) (xy 99.816155 62.986346) (xy 99.807032 62.991882) (xy 99.612199 63.133957) + (xy 99.604138 63.140952) (xy 99.436029 63.313822) (xy 99.429263 63.322074) (xy 99.313229 63.490903) (xy 99.282067 63.434916) + (xy 99.276107 63.426064) (xy 99.125013 63.23814) (xy 99.117647 63.230417) (xy 98.937049 63.070638) (xy 98.928486 63.064267) + (xy 98.723549 62.937202) (xy 98.714036 62.932365) (xy 98.490619 62.841645) (xy 98.480427 62.83848) (xy 98.244919 62.7867) + (xy 98.23434 62.785298) (xy 97.993475 62.773939) (xy 97.982809 62.774339) (xy 97.743474 62.803727) (xy 97.73303 62.805918) + (xy 97.502068 62.87521) (xy 97.492142 62.87913) (xy 97.276155 62.986346) (xy 97.267032 62.991882) (xy 97.072199 63.133957) + (xy 97.064138 63.140952) (xy 96.896029 63.313822) (xy 96.889263 63.322074) (xy 96.773229 63.490903) (xy 96.742067 63.434916) + (xy 96.736107 63.426064) (xy 96.585013 63.23814) (xy 96.577647 63.230417) (xy 96.397049 63.070638) (xy 96.392 63.066881) + (xy 96.392 56.261499) (xy 100.301163 56.261499) (xy 100.301163 59.615191) (xy 100.374972 59.689) (xy 102.541191 59.689001) + (xy 102.615 59.615192) (xy 102.615 59.615191) (xy 102.870999 59.615191) (xy 102.944808 59.689) (xy 105.111028 59.689001) + (xy 105.184837 59.615192) (xy 105.184837 56.258742) (xy 105.182681 56.242366) (xy 105.107863 55.963142) (xy 105.09512 55.938662) + (xy 104.96401 55.78241) (xy 104.947121 55.768239) (xy 104.77288 55.667641) (xy 104.752163 55.6601) (xy 104.559462 55.626122) + (xy 104.548501 55.625163) (xy 102.944809 55.625163) (xy 102.871 55.698972) (xy 102.870999 59.615191) (xy 102.615 59.615191) + (xy 102.615001 55.698972) (xy 102.541192 55.625163) (xy 100.934742 55.625163) (xy 100.918366 55.627319) (xy 100.639142 55.702137) + (xy 100.614662 55.71488) (xy 100.45841 55.84599) (xy 100.444239 55.862879) (xy 100.343641 56.03712) (xy 100.3361 56.057837) + (xy 100.302122 56.250538) (xy 100.301163 56.261499) (xy 96.392 56.261499) (xy 96.392 49.853124) (xy 96.454116 49.791009) + (xy 96.464171 49.777905) (xy 96.5445 49.638771) (xy 96.624829 49.777905) (xy 96.634884 49.791009) (xy 96.815991 49.972116) + (xy 96.829095 49.982171) (xy 97.050905 50.110233) (xy 97.066165 50.116554) (xy 97.313562 50.182844) (xy 97.329938 50.185) + (xy 97.586062 50.185) (xy 97.602438 50.182844) (xy 97.849835 50.116554) (xy 97.865095 50.110233) (xy 98.086905 49.982171) + (xy 98.100009 49.972116) (xy 98.162124 49.91) (xy 100.006876 49.91) (xy 100.068991 49.972116) (xy 100.082095 49.982171) + (xy 100.303905 50.110233) (xy 100.319165 50.116554) (xy 100.566562 50.182844) (xy 100.582938 50.185) (xy 100.839062 50.185) + (xy 100.855438 50.182844) (xy 101.102835 50.116554) (xy 101.118095 50.110233) (xy 101.339905 49.982171) (xy 101.353009 49.972116) + (xy 101.474001 49.851124) (xy 101.474 52.979876) (xy 101.411884 53.041991) (xy 101.401829 53.055095) (xy 101.273767 53.276905) + (xy 101.267446 53.292165) (xy 101.201156 53.539562) (xy 101.199 53.555938) (xy 101.199 53.812062) (xy 101.201156 53.828438) + (xy 101.267446 54.075835) (xy 101.273767 54.091095) (xy 101.401829 54.312905) (xy 101.411884 54.326009) (xy 101.592991 54.507116) + (xy 101.606095 54.517171) (xy 101.827905 54.645233) (xy 101.843165 54.651554) (xy 102.090562 54.717844) (xy 102.106938 54.72) + (xy 102.363062 54.72) (xy 102.379438 54.717844) (xy 102.626835 54.651554) (xy 102.642095 54.645233) (xy 102.863905 54.517171) + (xy 102.877009 54.507116) (xy 103.058116 54.326009) (xy 103.068171 54.312905) (xy 103.196233 54.091095) (xy 103.202554 54.075835) + (xy 103.268844 53.828438) (xy 103.271 53.812062) (xy 103.271 53.555938) (xy 103.268844 53.539562) (xy 103.202554 53.292165) + (xy 103.196233 53.276905) (xy 103.068171 53.055095) (xy 103.058116 53.041991) (xy 102.996 52.979876) (xy 102.996 52.659189) + (xy 103.233562 52.722844) (xy 103.249938 52.725) (xy 103.506063 52.725) (xy 103.51134 52.724305) (xy 103.487156 52.814562) + (xy 103.485 52.830938) (xy 103.485 53.087062) (xy 103.487156 53.103438) (xy 103.553446 53.350835) (xy 103.559767 53.366095) + (xy 103.687829 53.587905) (xy 103.697884 53.601009) (xy 103.878991 53.782116) (xy 103.892095 53.792171) (xy 104.113905 53.920233) + (xy 104.129165 53.926554) (xy 104.376562 53.992844) (xy 104.392938 53.995) (xy 104.649062 53.995) (xy 104.665438 53.992844) + (xy 104.912835 53.926554) (xy 104.928095 53.920233) (xy 105.149905 53.792171) (xy 105.163009 53.782116) (xy 105.344116 53.601009) + (xy 105.354171 53.587905) (xy 105.482233 53.366095) (xy 105.488554 53.350835) (xy 105.554844 53.103438) (xy 105.557 53.087062) + (xy 105.557 52.830938) (xy 105.554844 52.814562) (xy 105.488554 52.567165) (xy 105.482233 52.551905) (xy 105.354171 52.330095) + (xy 105.344116 52.316991) (xy 105.282 52.254876) (xy 105.282 44.900124) (xy 105.344116 44.838009) (xy 105.354171 44.824905) + (xy 105.482233 44.603095) (xy 105.488554 44.587835) (xy 105.554844 44.340438) (xy 105.557 44.324062) (xy 105.557 44.067938) + (xy 105.554844 44.051562) (xy 105.488554 43.804165) (xy 105.482233 43.788905) (xy 105.354171 43.567095) (xy 105.344116 43.553991) + (xy 105.163009 43.372884) (xy 105.149905 43.362829) (xy 104.928095 43.234767) (xy 104.912835 43.228446) (xy 104.665438 43.162156) + (xy 104.649062 43.16) (xy 104.392938 43.16) (xy 104.376562 43.162156) (xy 104.129165 43.228446) (xy 104.113905 43.234767) + (xy 103.9495 43.329686) (xy 103.785095 43.234767) (xy 103.769835 43.228446) (xy 103.522438 43.162156) (xy 103.506062 43.16) + (xy 103.249938 43.16) (xy 103.233562 43.162156) (xy 102.986165 43.228446) (xy 102.970905 43.234767) (xy 102.749095 43.362829) + (xy 102.735991 43.372884) (xy 102.554884 43.553991) (xy 102.544829 43.567095) (xy 102.416767 43.788905) (xy 102.410446 43.804165) + (xy 102.344156 44.051562) (xy 102.342 44.067938) (xy 102.342 44.324062) (xy 102.344156 44.340438) (xy 102.410446 44.587835) + (xy 102.416767 44.603095) (xy 102.544829 44.824905) (xy 102.554884 44.838009) (xy 102.617001 44.900125) (xy 102.617 48.113) + (xy 102.524938 48.113) (xy 102.508562 48.115156) (xy 102.261165 48.181446) (xy 102.245905 48.187767) (xy 102.024095 48.315829) + (xy 102.010991 48.325884) (xy 101.829884 48.506991) (xy 101.819829 48.520095) (xy 101.691767 48.741905) (xy 101.685446 48.757165) + (xy 101.682 48.770026) (xy 101.678554 48.757165) (xy 101.672233 48.741905) (xy 101.544171 48.520095) (xy 101.534116 48.506991) + (xy 101.353009 48.325884) (xy 101.339905 48.315829) (xy 101.118095 48.187767) (xy 101.102835 48.181446) (xy 100.855438 48.115156) + (xy 100.839062 48.113) (xy 100.582938 48.113) (xy 100.566562 48.115156) (xy 100.319165 48.181446) (xy 100.303905 48.187767) + (xy 100.082095 48.315829) (xy 100.068991 48.325884) (xy 100.006876 48.388) (xy 98.162124 48.388) (xy 98.100009 48.325884) + (xy 98.086905 48.315829) (xy 97.865095 48.187767) (xy 97.849835 48.181446) (xy 97.602438 48.115156) (xy 97.586062 48.113) + (xy 97.329938 48.113) (xy 97.313562 48.115156) (xy 97.066165 48.181446) (xy 97.050905 48.187767) (xy 96.829095 48.315829) + (xy 96.815991 48.325884) (xy 96.634884 48.506991) (xy 96.624829 48.520095) (xy 96.5445 48.659229) (xy 96.464171 48.520095) + (xy 96.454116 48.506991) (xy 96.273009 48.325884) (xy 96.259905 48.315829) (xy 96.038095 48.187767) (xy 96.022835 48.181446) + (xy 95.778 48.115842) (xy 95.778 48.004938) (xy 95.775844 47.988562) (xy 95.709554 47.741165) (xy 95.703233 47.725905) + (xy 95.575171 47.504095) (xy 95.565116 47.490991) (xy 95.384009 47.309884) (xy 95.370905 47.299829) (xy 95.149095 47.171767) + (xy 95.133835 47.165446) (xy 94.886438 47.099156) (xy 94.870062 47.097) (xy 94.613938 47.097) (xy 94.597562 47.099156) + (xy 94.350165 47.165446) (xy 94.334905 47.171767) (xy 94.113095 47.299829) (xy 94.099991 47.309884) (xy 93.918884 47.490991) + (xy 93.908829 47.504095) (xy 93.780767 47.725905) (xy 93.774446 47.741165) (xy 93.708156 47.988562) (xy 93.706 48.004938) + (xy 93.706 48.261062) (xy 93.708156 48.277438) (xy 93.774446 48.524835) (xy 93.780767 48.540095) (xy 93.908829 48.761905) + (xy 93.918884 48.775009) (xy 93.981 48.837124) (xy 93.981001 49.169875) (xy 93.918884 49.231991) (xy 93.908829 49.245095) + (xy 93.780767 49.466905) (xy 93.774446 49.482165) (xy 93.708156 49.729562) (xy 93.706 49.745938) (xy 93.706 50.002062) + (xy 93.708156 50.018438) (xy 93.774446 50.265835) (xy 93.780767 50.281095) (xy 93.908829 50.502905) (xy 93.918884 50.516009) + (xy 94.099991 50.697116) (xy 94.113095 50.707171) (xy 94.334905 50.835233) (xy 94.350165 50.841554) (xy 94.597562 50.907844) + (xy 94.613938 50.91) (xy 94.87 50.91) (xy 94.870001 62.919904) (xy 94.736155 62.986346) (xy 94.727032 62.991882) + (xy 94.532199 63.133957) (xy 94.524138 63.140952) (xy 94.356029 63.313822) (xy 94.349263 63.322074) (xy 94.212683 63.520798) + (xy 94.207403 63.530073) (xy 94.10626 63.748968) (xy 94.102618 63.759) (xy 94.039802 63.991807) (xy 94.037903 64.002309) + (xy 94.015211 64.242372) (xy 94.015109 64.253043) (xy 94.033188 64.493497) (xy 94.034885 64.504034) (xy 94.093221 64.738005) + (xy 94.096669 64.748104) (xy 94.193591 64.9689) (xy 94.198692 64.978275) (xy 94.33143 65.179584) (xy 94.338038 65.187965) + (xy 94.489481 65.349801) (xy 94.350142 65.387137) (xy 94.325662 65.39988) (xy 94.16941 65.53099) (xy 94.155239 65.547879) + (xy 94.054641 65.72212) (xy 94.0471 65.742837) (xy 94.013122 65.935538) (xy 94.012163 65.946499) (xy 94.012163 67.660258) + (xy 94.014319 67.676634) (xy 94.089137 67.955859) (xy 94.10188 67.980339) (xy 94.23299 68.13659) (xy 94.249879 68.150761) + (xy 94.335164 68.2) (xy 91.304161 68.2) (xy 91.449387 68.144253) (xy 91.458991 68.1396) (xy 91.66633 68.016491) + (xy 91.675012 68.010286) (xy 91.858645 67.854004) (xy 91.866158 67.846424) (xy 92.020833 67.661435) (xy 92.026962 67.652698) + (xy 92.148256 67.444294) (xy 92.152825 67.43465) (xy 92.237272 67.208787) (xy 92.240151 67.198511) (xy 92.285392 66.961351) + (xy 92.286507 66.950128) (xy 92.289077 66.682341) (xy 92.288178 66.671099) (xy 92.247499 66.433114) (xy 92.244817 66.422784) + (xy 92.164723 66.195343) (xy 92.160339 66.185612) (xy 92.043067 65.974916) (xy 92.037107 65.966064) (xy 91.886013 65.77814) + (xy 91.878647 65.770417) (xy 91.698049 65.610638) (xy 91.689486 65.604267) (xy 91.572888 65.531973) (xy 91.66633 65.476491) + (xy 91.675012 65.470286) (xy 91.858645 65.314004) (xy 91.866158 65.306424) (xy 92.020833 65.121435) (xy 92.026962 65.112698) + (xy 92.148256 64.904294) (xy 92.152825 64.89465) (xy 92.237272 64.668787) (xy 92.240151 64.658511) (xy 92.285392 64.421351) + (xy 92.286507 64.410128) (xy 92.289077 64.142341) (xy 92.288178 64.131099) (xy 92.247499 63.893114) (xy 92.244817 63.882784) + (xy 92.164723 63.655343) (xy 92.160339 63.645612) (xy 92.043067 63.434916) (xy 92.037107 63.426064) (xy 91.886013 63.23814) + (xy 91.878647 63.230417) (xy 91.698049 63.070638) (xy 91.689486 63.064267) (xy 91.572888 62.991973) (xy 91.66633 62.936491) + (xy 91.675012 62.930286) (xy 91.858645 62.774004) (xy 91.866158 62.766424) (xy 92.020833 62.581435) (xy 92.026962 62.572698) + (xy 92.148256 62.364294) (xy 92.152825 62.35465) (xy 92.237272 62.128787) (xy 92.240151 62.118511) (xy 92.285392 61.881351) + (xy 92.286507 61.870128) (xy 92.289077 61.602341) (xy 92.288178 61.591099) (xy 92.247499 61.353114) (xy 92.244817 61.342784) + (xy 92.164723 61.115343) (xy 92.160339 61.105612) (xy 92.043067 60.894916) (xy 92.037107 60.886064) (xy 91.886013 60.69814) + (xy 91.878647 60.690417) (xy 91.698049 60.530638) (xy 91.689486 60.524267) (xy 91.572888 60.451973) (xy 91.66633 60.396491) + (xy 91.675012 60.390286) (xy 91.858645 60.234004) (xy 91.866158 60.226424) (xy 92.020833 60.041435) (xy 92.026962 60.032698) + (xy 92.148256 59.824294) (xy 92.152825 59.81465) (xy 92.237272 59.588787) (xy 92.240151 59.578511) (xy 92.285392 59.341351) + (xy 92.286507 59.330128) (xy 92.289077 59.062341) (xy 92.288178 59.051099) (xy 92.247499 58.813114) (xy 92.244817 58.802784) + (xy 92.164723 58.575343) (xy 92.160339 58.565612) (xy 92.043067 58.354916) (xy 92.037107 58.346064) (xy 91.886013 58.15814) + (xy 91.878647 58.150417) (xy 91.698049 57.990638) (xy 91.689486 57.984267) (xy 91.572888 57.911973) (xy 91.66633 57.856491) + (xy 91.675012 57.850286) (xy 91.858645 57.694004) (xy 91.866158 57.686424) (xy 92.020833 57.501435) (xy 92.026962 57.492698) + (xy 92.148256 57.284294) (xy 92.152825 57.27465) (xy 92.237272 57.048787) (xy 92.240151 57.038511) (xy 92.285392 56.801351) + (xy 92.286507 56.790128) (xy 92.289077 56.522341) (xy 92.288178 56.511099) (xy 92.247499 56.273114) (xy 92.244817 56.262784) + (xy 92.164723 56.035343) (xy 92.160339 56.025612) (xy 92.043067 55.814916) (xy 92.037107 55.806064) (xy 91.886013 55.61814) + (xy 91.878647 55.610417) (xy 91.698049 55.450638) (xy 91.689486 55.444267) (xy 91.572888 55.371973) (xy 91.66633 55.316491) + (xy 91.675012 55.310286) (xy 91.858645 55.154004) (xy 91.866158 55.146424) (xy 92.020833 54.961435) (xy 92.026962 54.952698) + (xy 92.148256 54.744294) (xy 92.152825 54.73465) (xy 92.237272 54.508787) (xy 92.240151 54.498511) (xy 92.285392 54.261351) + (xy 92.286507 54.250128) (xy 92.289077 53.982341) (xy 92.288178 53.971099) (xy 92.247499 53.733114) (xy 92.244817 53.722784) + (xy 92.164723 53.495343) (xy 92.160339 53.485612) (xy 92.043067 53.274916) (xy 92.037107 53.266064) (xy 91.886013 53.07814) + (xy 91.878647 53.070417) (xy 91.698049 52.910638) (xy 91.689486 52.904267) (xy 91.572888 52.831973) (xy 91.66633 52.776491) + (xy 91.675012 52.770286) (xy 91.858645 52.614004) (xy 91.866158 52.606424) (xy 92.020833 52.421435) (xy 92.026961 52.412698) + (xy 92.079167 52.323) (xy 92.584001 52.323) (xy 92.584 53.397876) (xy 92.521884 53.459991) (xy 92.511829 53.473095) + (xy 92.383767 53.694905) (xy 92.377446 53.710165) (xy 92.311156 53.957562) (xy 92.309 53.973938) (xy 92.309 54.230062) + (xy 92.311156 54.246438) (xy 92.377446 54.493835) (xy 92.383767 54.509095) (xy 92.511829 54.730905) (xy 92.521884 54.744009) + (xy 92.702991 54.925116) (xy 92.716095 54.935171) (xy 92.937905 55.063233) (xy 92.953165 55.069554) (xy 93.200562 55.135844) + (xy 93.216938 55.138) (xy 93.473062 55.138) (xy 93.489438 55.135844) (xy 93.736835 55.069554) (xy 93.752095 55.063233) + (xy 93.973905 54.935171) (xy 93.987009 54.925116) (xy 94.168116 54.744009) (xy 94.178171 54.730905) (xy 94.306233 54.509095) + (xy 94.312554 54.493835) (xy 94.378844 54.246438) (xy 94.381 54.230062) (xy 94.381 53.973938) (xy 94.378844 53.957562) + (xy 94.312554 53.710165) (xy 94.306233 53.694905) (xy 94.178171 53.473095) (xy 94.168116 53.459991) (xy 94.106 53.397876) + (xy 94.106 51.621891) (xy 94.113915 51.571916) (xy 94.113915 51.552084) (xy 94.079347 51.333823) (xy 94.073218 51.314961) + (xy 93.972894 51.118065) (xy 93.961236 51.10202) (xy 93.80498 50.945764) (xy 93.788935 50.934106) (xy 93.592039 50.833782) + (xy 93.573177 50.827653) (xy 93.414684 50.802551) (xy 93.354916 50.793085) (xy 93.335084 50.793085) (xy 93.285109 50.801) + (xy 92.079849 50.801) (xy 92.043067 50.734916) (xy 92.037107 50.726064) (xy 91.886013 50.53814) (xy 91.878647 50.530417) + (xy 91.698049 50.370638) (xy 91.689486 50.364267) (xy 91.572888 50.291973) (xy 91.66633 50.236491) (xy 91.675012 50.230286) + (xy 91.858645 50.074004) (xy 91.866158 50.066424) (xy 92.020833 49.881435) (xy 92.026962 49.872698) (xy 92.148256 49.664294) + (xy 92.152825 49.65465) (xy 92.237272 49.428787) (xy 92.240151 49.418511) (xy 92.273943 49.241369) (xy 92.198356 49.15) + (xy 89.407272 49.15) (xy 89.331602 49.246853) (xy 89.394221 49.498005) (xy 89.397669 49.508104) (xy 89.494591 49.7289) (xy 89.499692 49.738275) (xy 89.63243 49.939584) (xy 89.639038 49.947965) (xy 89.803798 50.124032) (xy 89.811722 50.13118) (xy 90.003792 50.276968) (xy 90.012808 50.282679) (xy 90.029166 50.291194) (xy 90.028032 50.291882) (xy 89.833199 50.433957) (xy 89.825138 50.440952) (xy 89.657029 50.613822) (xy 89.650263 50.622074) (xy 89.513683 50.820798) (xy 89.508403 50.830073) @@ -1224,476 +1398,65 @@ (xy 89.316211 66.782372) (xy 89.316109 66.793043) (xy 89.334188 67.033497) (xy 89.335885 67.044034) (xy 89.394221 67.278005) (xy 89.397669 67.288104) (xy 89.494591 67.5089) (xy 89.499692 67.518275) (xy 89.63243 67.719584) (xy 89.639038 67.727965) (xy 89.803798 67.904032) (xy 89.811722 67.91118) (xy 90.003792 68.056968) (xy 90.012808 68.062679) (xy 90.226696 68.174021) - (xy 90.236545 68.178131) (xy 90.304659 68.2) (xy 89.28 68.2) (xy 89.28 46.033214) - ) - ) - (filled_polygon - (pts - (xy 96.131063 61.375899) (xy 96.146666 61.386537) (xy 96.342392 61.480794) (xy 96.360438 61.48636) (xy 96.430816 61.496968) - (xy 96.411593 61.516191) (xy 96.411588 61.516198) (xy 96.062766 61.865019) (xy 96.051109 61.881064) (xy 96.028139 61.926143) - (xy 95.998394 61.967084) (xy 95.98939 61.984756) (xy 95.973754 62.032881) (xy 95.950784 62.077959) (xy 95.944655 62.096822) - (xy 95.93674 62.1468) (xy 95.921104 62.194921) (xy 95.918002 62.21451) (xy 95.918002 62.83355) (xy 95.704919 62.7867) - (xy 95.69434 62.785298) (xy 95.453475 62.773939) (xy 95.442809 62.774339) (xy 95.203474 62.803727) (xy 95.19303 62.805918) - (xy 94.962068 62.87521) (xy 94.952142 62.87913) (xy 94.736155 62.986346) (xy 94.727032 62.991882) (xy 94.532199 63.133957) - (xy 94.524138 63.140952) (xy 94.356029 63.313822) (xy 94.349263 63.322074) (xy 94.212683 63.520798) (xy 94.207403 63.530073) - (xy 94.10626 63.748968) (xy 94.102618 63.759) (xy 94.039802 63.991807) (xy 94.037903 64.002309) (xy 94.015211 64.242372) - (xy 94.015109 64.253043) (xy 94.033188 64.493497) (xy 94.034885 64.504034) (xy 94.093221 64.738005) (xy 94.096669 64.748104) - (xy 94.193591 64.9689) (xy 94.198692 64.978275) (xy 94.33143 65.179584) (xy 94.338038 65.187965) (xy 94.489481 65.349801) - (xy 94.350142 65.387137) (xy 94.325662 65.39988) (xy 94.16941 65.53099) (xy 94.155239 65.547879) (xy 94.054641 65.72212) - (xy 94.0471 65.742837) (xy 94.013122 65.935538) (xy 94.012163 65.946499) (xy 94.012163 67.660258) (xy 94.014319 67.676634) - (xy 94.089137 67.955859) (xy 94.10188 67.980339) (xy 94.23299 68.13659) (xy 94.249879 68.150761) (xy 94.335164 68.2) - (xy 91.304161 68.2) (xy 91.449387 68.144253) (xy 91.458991 68.1396) (xy 91.66633 68.016491) (xy 91.675012 68.010286) - (xy 91.858645 67.854004) (xy 91.866158 67.846424) (xy 92.020833 67.661435) (xy 92.026962 67.652698) (xy 92.148256 67.444294) - (xy 92.152825 67.43465) (xy 92.237272 67.208787) (xy 92.240151 67.198511) (xy 92.285392 66.961351) (xy 92.286507 66.950128) - (xy 92.289077 66.682341) (xy 92.288178 66.671099) (xy 92.247879 66.435337) (xy 93.032607 65.65061) (xy 93.03262 65.650595) - (xy 93.072236 65.61098) (xy 93.083893 65.594935) (xy 93.106863 65.549855) (xy 93.136607 65.508916) (xy 93.145611 65.491245) - (xy 93.161248 65.443121) (xy 93.184218 65.398038) (xy 93.190347 65.379177) (xy 93.198264 65.329192) (xy 93.213897 65.281078) - (xy 93.216999 65.26149) (xy 93.216999 65.206191) (xy 93.217 65.206179) (xy 93.217 62.926214) (xy 94.172444 61.970771) - (xy 94.215236 61.92798) (xy 94.226894 61.911934) (xy 94.249865 61.866852) (xy 94.279606 61.825917) (xy 94.28861 61.808245) - (xy 94.304243 61.760129) (xy 94.327218 61.71504) (xy 94.333347 61.696177) (xy 94.341263 61.646194) (xy 94.356896 61.598079) - (xy 94.359999 61.578491) (xy 94.359999 61.523191) (xy 94.36 61.523179) (xy 94.36 61.34) (xy 96.092373 61.34) - ) - ) - (filled_polygon - (pts - (xy 97.042798 67.904032) (xy 97.050722 67.91118) (xy 97.242792 68.056968) (xy 97.251808 68.062679) (xy 97.465696 68.174021) - (xy 97.475545 68.178131) (xy 97.543659 68.2) (xy 96.687249 68.2) (xy 96.83859 68.07301) (xy 96.852761 68.056121) - (xy 96.953359 67.88188) (xy 96.9609 67.861163) (xy 96.967525 67.823593) - ) - ) - (filled_polygon - (pts - (xy 99.41143 67.719584) (xy 99.418038 67.727965) (xy 99.582798 67.904032) (xy 99.590722 67.91118) (xy 99.782792 68.056968) - (xy 99.791808 68.062679) (xy 100.005696 68.174021) (xy 100.015545 68.178131) (xy 100.083659 68.2) (xy 98.543161 68.2) - (xy 98.688387 68.144253) (xy 98.697991 68.1396) (xy 98.90533 68.016491) (xy 98.914012 68.010286) (xy 99.097645 67.854004) - (xy 99.105158 67.846424) (xy 99.259833 67.661435) (xy 99.265962 67.652698) (xy 99.313486 67.571043) - ) - ) - (filled_polygon - (pts - (xy 102.635739 65.837199) (xy 102.643654 65.887177) (xy 102.649783 65.90604) (xy 102.672753 65.951118) (xy 102.688389 65.999243) - (xy 102.697393 66.016915) (xy 102.727138 66.057856) (xy 102.750108 66.102935) (xy 102.761765 66.11898) (xy 102.981817 66.339032) - (xy 102.929802 66.531807) (xy 102.927903 66.542309) (xy 102.905211 66.782372) (xy 102.905109 66.793043) (xy 102.923188 67.033497) - (xy 102.924885 67.044034) (xy 102.983221 67.278005) (xy 102.986669 67.288104) (xy 103.083591 67.5089) (xy 103.088692 67.518275) - (xy 103.22143 67.719584) (xy 103.228038 67.727965) (xy 103.392798 67.904032) (xy 103.400722 67.91118) (xy 103.592792 68.056968) - (xy 103.601808 68.062679) (xy 103.815696 68.174021) (xy 103.825545 68.178131) (xy 103.893659 68.2) (xy 101.083161 68.2) - (xy 101.228387 68.144253) (xy 101.237991 68.1396) (xy 101.44533 68.016491) (xy 101.454012 68.010286) (xy 101.637645 67.854004) - (xy 101.645158 67.846424) (xy 101.799833 67.661435) (xy 101.805962 67.652698) (xy 101.927256 67.444294) (xy 101.931825 67.43465) - (xy 102.016272 67.208787) (xy 102.019151 67.198511) (xy 102.064392 66.961351) (xy 102.065507 66.950128) (xy 102.068077 66.682341) - (xy 102.067178 66.671099) (xy 102.026879 66.435336) (xy 102.633109 65.829106) - ) - ) - (filled_polygon - (pts - (xy 105.76143 67.719584) (xy 105.768038 67.727965) (xy 105.932798 67.904032) (xy 105.940722 67.91118) (xy 106.132792 68.056968) - (xy 106.141808 68.062679) (xy 106.355696 68.174021) (xy 106.365545 68.178131) (xy 106.433659 68.2) (xy 104.893161 68.2) - (xy 105.038387 68.144253) (xy 105.047991 68.1396) (xy 105.25533 68.016491) (xy 105.264012 68.010286) (xy 105.447645 67.854004) - (xy 105.455158 67.846424) (xy 105.609833 67.661435) (xy 105.615962 67.652698) (xy 105.663486 67.571043) - ) - ) - (filled_polygon - (pts - (xy 108.30143 67.719584) (xy 108.308038 67.727965) (xy 108.472798 67.904032) (xy 108.480722 67.91118) (xy 108.672792 68.056968) - (xy 108.681808 68.062679) (xy 108.895696 68.174021) (xy 108.905545 68.178131) (xy 108.973659 68.2) (xy 107.433161 68.2) - (xy 107.578387 68.144253) (xy 107.587991 68.1396) (xy 107.79533 68.016491) (xy 107.804012 68.010286) (xy 107.987645 67.854004) - (xy 107.995158 67.846424) (xy 108.149833 67.661435) (xy 108.155962 67.652698) (xy 108.203486 67.571043) - ) - ) - (filled_polygon - (pts - (xy 110.599137 67.955859) (xy 110.61188 67.980339) (xy 110.74299 68.13659) (xy 110.759879 68.150761) (xy 110.845164 68.2) - (xy 109.973161 68.2) (xy 110.118387 68.144253) (xy 110.127991 68.1396) (xy 110.33533 68.016491) (xy 110.344012 68.010286) - (xy 110.527645 67.854004) (xy 110.535158 67.846424) (xy 110.561403 67.815035) - ) - ) - (filled_polygon - (pts - (xy 113.412001 68.2) (xy 113.197249 68.2) (xy 113.34859 68.07301) (xy 113.362761 68.056121) (xy 113.412001 67.970835) - ) - ) - (filled_polygon - (pts - (xy 113.412001 65.618753) (xy 113.28501 65.46741) (xy 113.268121 65.453239) (xy 113.09388 65.352641) (xy 113.073163 65.3451) - (xy 112.880462 65.311122) (xy 112.869501 65.310163) (xy 111.725378 65.310163) (xy 109.455412 63.040198) (xy 109.455407 63.040191) - (xy 109.29898 62.883764) (xy 109.282934 62.872106) (xy 109.237847 62.849133) (xy 109.196916 62.819394) (xy 109.179244 62.810389) - (xy 109.131126 62.794755) (xy 109.086039 62.771782) (xy 109.067176 62.765653) (xy 109.017193 62.757737) (xy 108.969078 62.742103) - (xy 108.949489 62.739001) (xy 108.894191 62.739001) (xy 108.894179 62.739) (xy 106.360216 62.739) (xy 106.16899 62.547775) - (xy 106.16899 61.518738) (xy 107.637201 61.518738) (xy 107.648939 61.517637) (xy 107.908284 61.468567) (xy 107.928212 61.461172) - (xy 108.117373 61.35196) (xy 108.132138 61.340186) (xy 108.132311 61.34) (xy 111.065109 61.34) (xy 111.115084 61.347915) - (xy 111.134916 61.347915) (xy 111.194684 61.338449) (xy 111.353177 61.313346) (xy 111.372039 61.307218) (xy 111.568935 61.206894) - (xy 111.58498 61.195236) (xy 111.741236 61.03898) (xy 111.752894 61.022935) (xy 111.853218 60.826039) (xy 111.859347 60.807177) - (xy 111.880841 60.671463) (xy 112.048594 60.695582) (xy 112.057571 60.696224) (xy 112.988683 60.696224) (xy 113.002113 60.69478) - (xy 113.337853 60.621745) (xy 113.358687 60.613115) (xy 113.412001 60.578852) - ) - ) - (filled_polygon - (pts - (xy 107.044308 65.321524) (xy 106.883475 65.313939) (xy 106.872809 65.314339) (xy 106.67397 65.338754) (xy 106.231215 64.896) - (xy 106.618785 64.896) - ) - ) - (filled_polygon - (pts - (xy 109.58431 65.321524) (xy 109.423475 65.313939) (xy 109.412809 65.314339) (xy 109.213971 65.338754) (xy 108.136215 64.261) - (xy 108.523786 64.261) - ) - ) - (filled_polygon - (pts - (xy 101.827905 54.645233) (xy 101.843165 54.651554) (xy 101.982001 54.688755) (xy 101.982 63.764037) (xy 101.943723 63.655343) - (xy 101.939339 63.645612) (xy 101.822067 63.434916) (xy 101.816107 63.426064) (xy 101.665013 63.23814) (xy 101.657647 63.230417) - (xy 101.477049 63.070638) (xy 101.468486 63.064267) (xy 101.263549 62.937202) (xy 101.254036 62.932365) (xy 101.233712 62.924112) - (xy 101.234865 62.92185) (xy 101.264606 62.880915) (xy 101.27361 62.863243) (xy 101.289243 62.815127) (xy 101.312218 62.770038) - (xy 101.318347 62.751175) (xy 101.326263 62.701192) (xy 101.341896 62.653077) (xy 101.344999 62.633489) (xy 101.344999 62.578189) - (xy 101.345 62.578177) (xy 101.345 54.609) (xy 101.765148 54.609) - ) - ) - (filled_polygon - (pts - (xy 98.407367 62.822417) (xy 98.244919 62.7867) (xy 98.23434 62.785298) (xy 97.993475 62.773939) (xy 97.982809 62.774339) - (xy 97.743474 62.803727) (xy 97.73303 62.805918) (xy 97.502068 62.87521) (xy 97.492142 62.87913) (xy 97.440001 62.905013) - (xy 97.440001 62.737) (xy 98.492784 62.737) - ) - ) - (filled_polygon - (pts - (xy 113.412001 57.784156) (xy 113.241823 57.706439) (xy 113.22453 57.701361) (xy 112.995406 57.668418) (xy 112.986429 57.667776) - (xy 112.055317 57.667776) (xy 112.041887 57.66922) (xy 111.886 57.703131) (xy 111.886 56.700843) (xy 111.929177 56.720561) - (xy 111.94647 56.725639) (xy 112.175594 56.758582) (xy 112.184571 56.759224) (xy 113.115683 56.759224) (xy 113.129113 56.75778) - (xy 113.412001 56.696242) - ) - ) - (filled_polygon - (pts - (xy 110.364 57.750818) (xy 110.266823 57.706439) (xy 110.24953 57.701361) (xy 110.020406 57.668418) (xy 110.011429 57.667776) - (xy 109.108992 57.667776) (xy 109.092 57.650785) (xy 109.092 56.742969) (xy 109.200594 56.758582) (xy 109.209571 56.759224) - (xy 110.140683 56.759224) (xy 110.154113 56.75778) (xy 110.364001 56.712122) - ) - ) - (filled_polygon - (pts - (xy 92.311156 53.957562) (xy 92.309 53.973938) (xy 92.309 54.230062) (xy 92.311156 54.246438) (xy 92.377446 54.493835) - (xy 92.383767 54.509095) (xy 92.511829 54.730905) (xy 92.517273 54.738) (xy 92.151238 54.738) (xy 92.152825 54.73465) - (xy 92.237272 54.508787) (xy 92.240151 54.498511) (xy 92.285392 54.261351) (xy 92.286507 54.250128) (xy 92.289077 53.982341) - (xy 92.288178 53.971099) (xy 92.247879 53.735336) (xy 92.263215 53.72) (xy 92.374811 53.72) - ) - ) - (filled_polygon - (pts - (xy 113.412001 53.789158) (xy 113.368823 53.769439) (xy 113.35153 53.764361) (xy 113.122406 53.731418) (xy 113.113429 53.730776) - (xy 112.182317 53.730776) (xy 112.168887 53.73222) (xy 111.886 53.793758) (xy 111.886 53.110715) (xy 112.174492 52.822224) - (xy 113.079183 52.822224) (xy 113.092613 52.82078) (xy 113.412001 52.751302) - ) - ) - (filled_polygon - (pts - (xy 93.092001 49.089102) (xy 93.084085 49.139084) (xy 93.084085 49.158916) (xy 93.118653 49.377177) (xy 93.124782 49.396039) - (xy 93.225106 49.592935) (xy 93.236764 49.60898) (xy 93.39302 49.765236) (xy 93.409065 49.776894) (xy 93.605961 49.877218) - (xy 93.624823 49.883347) (xy 93.706 49.896204) (xy 93.706 50.002062) (xy 93.708156 50.018438) (xy 93.774446 50.265835) - (xy 93.780767 50.281095) (xy 93.908829 50.502905) (xy 93.918884 50.516009) (xy 93.981 50.578124) (xy 93.981001 51.629102) - (xy 93.973085 51.679084) (xy 93.973085 51.698916) (xy 94.007653 51.917177) (xy 94.013782 51.936039) (xy 94.114106 52.132935) - (xy 94.125764 52.14898) (xy 94.174784 52.198) (xy 92.151238 52.198) (xy 92.152825 52.19465) (xy 92.237272 51.968787) - (xy 92.240151 51.958511) (xy 92.285392 51.721351) (xy 92.286507 51.710128) (xy 92.289077 51.442341) (xy 92.288178 51.431099) - (xy 92.247499 51.193114) (xy 92.244817 51.182784) (xy 92.164723 50.955343) (xy 92.160339 50.945612) (xy 92.043067 50.734916) - (xy 92.037107 50.726064) (xy 91.886013 50.53814) (xy 91.878647 50.530417) (xy 91.698049 50.370638) (xy 91.689486 50.364267) - (xy 91.572888 50.291973) (xy 91.66633 50.236491) (xy 91.675012 50.230286) (xy 91.858645 50.074004) (xy 91.866158 50.066424) - (xy 92.020833 49.881435) (xy 92.026962 49.872698) (xy 92.148256 49.664294) (xy 92.152825 49.65465) (xy 92.237272 49.428787) - (xy 92.240151 49.418511) (xy 92.285392 49.181351) (xy 92.286507 49.170128) (xy 92.289077 48.902341) (xy 92.288178 48.891099) - (xy 92.247499 48.653114) (xy 92.244817 48.642784) (xy 92.164723 48.415343) (xy 92.160339 48.405612) (xy 92.043067 48.194916) - (xy 92.037107 48.186064) (xy 91.886013 47.99814) (xy 91.878647 47.990417) (xy 91.81613 47.935107) (xy 91.958859 47.896863) - (xy 91.983339 47.88412) (xy 92.13959 47.75301) (xy 92.153761 47.736121) (xy 92.254359 47.56188) (xy 92.2619 47.541163) - (xy 92.295878 47.348462) (xy 92.296837 47.337501) (xy 92.296837 47.243) (xy 93.092 47.243) - ) - ) - (filled_polygon - (pts - (xy 111.625991 49.972116) (xy 111.626739 49.97269) (xy 111.57538 50.005696) (xy 111.561759 50.017499) (xy 111.407217 50.195849) - (xy 111.397473 50.211011) (xy 111.299439 50.425677) (xy 111.294361 50.44297) (xy 111.261418 50.672094) (xy 111.260776 50.681071) - (xy 111.260776 51.58351) (xy 110.989224 51.855062) (xy 110.989224 50.678817) (xy 110.98778 50.665387) (xy 110.914745 50.329647) - (xy 110.906115 50.308813) (xy 110.777304 50.10838) (xy 110.765501 50.094759) (xy 110.587151 49.940217) (xy 110.571989 49.930473) - (xy 110.527159 49.91) (xy 111.563876 49.91) - ) - ) - (filled_polygon - (pts - (xy 100.068991 49.972116) (xy 100.082095 49.982171) (xy 100.303905 50.110233) (xy 100.319165 50.116554) (xy 100.566562 50.182844) - (xy 100.582938 50.185) (xy 100.670784 50.185) (xy 101.413783 50.928) (xy 99.050215 50.928) (xy 99.092225 50.88599) - (xy 99.763606 50.214611) (xy 99.763619 50.214596) (xy 99.803236 50.17498) (xy 99.814894 50.158934) (xy 99.837866 50.11385) - (xy 99.867606 50.072916) (xy 99.87661 50.055246) (xy 99.892245 50.007127) (xy 99.915218 49.962039) (xy 99.921346 49.943177) - (xy 99.929263 49.893195) (xy 99.944175 49.8473) - ) - ) - (filled_polygon - (pts - (xy 95.793967 50.256577) (xy 95.793262 50.265979) (xy 95.793262 50.573201) (xy 95.794363 50.584939) (xy 95.843433 50.844284) - (xy 95.850828 50.864212) (xy 95.887656 50.928) (xy 95.503 50.928) (xy 95.503 50.578124) (xy 95.565116 50.516009) - (xy 95.575171 50.502905) (xy 95.703233 50.281095) (xy 95.709554 50.265835) (xy 95.731214 50.185) (xy 95.759062 50.185) - (xy 95.775438 50.182844) (xy 95.806328 50.174567) - ) - ) - (filled_polygon - (pts - (xy 108.60038 50.005696) (xy 108.586759 50.017499) (xy 108.432217 50.195849) (xy 108.422473 50.211011) (xy 108.416256 50.224624) - (xy 108.372567 49.993716) (xy 108.365172 49.973788) (xy 108.328344 49.91) (xy 108.749285 49.91) - ) - ) - (filled_polygon - (pts - (xy 113.412001 49.868827) (xy 113.332323 49.832439) (xy 113.31503 49.827361) (xy 113.087481 49.794644) (xy 113.091116 49.791009) - (xy 113.101171 49.777905) (xy 113.229233 49.556095) (xy 113.235554 49.540835) (xy 113.301844 49.293438) (xy 113.304 49.277062) - (xy 113.304 49.020938) (xy 113.301844 49.004562) (xy 113.235554 48.757165) (xy 113.229233 48.741905) (xy 113.101171 48.520095) - (xy 113.091116 48.506991) (xy 113.029 48.444876) (xy 113.029 47.333802) (xy 113.196295 47.283292) (xy 113.207689 47.278596) - (xy 113.393112 47.180006) (xy 113.403378 47.173185) (xy 113.412 47.166153) - ) - ) - (filled_polygon - (pts - (xy 110.949992 45.020427) (xy 110.958615 45.029234) (xy 111.119488 45.164221) (xy 111.129657 45.171184) (xy 111.233077 45.22804) - (xy 111.142888 45.275994) (xy 111.132622 45.282815) (xy 110.969881 45.415544) (xy 110.961135 45.424228) (xy 110.827274 45.586039) - (xy 110.820382 45.596257) (xy 110.720499 45.780986) (xy 110.715723 45.792348) (xy 110.653624 45.992961) (xy 110.651145 46.005034) - (xy 110.629194 46.213887) (xy 110.629108 46.226212) (xy 110.648141 46.435351) (xy 110.650451 46.447457) (xy 110.709743 46.648917) - (xy 110.71436 46.660344) (xy 110.811654 46.84645) (xy 110.818403 46.856763) (xy 110.949992 47.020428) (xy 110.958615 47.029234) - (xy 111.119488 47.164221) (xy 111.129657 47.171184) (xy 111.313684 47.272354) (xy 111.325012 47.27721) (xy 111.507001 47.334939) - (xy 111.507 48.388) (xy 108.123627 48.388) (xy 108.084937 48.352101) (xy 108.069334 48.341463) (xy 107.873608 48.247206) - (xy 107.855562 48.24164) (xy 107.645423 48.209967) (xy 107.636021 48.209262) (xy 107.251325 48.209262) (xy 107.214095 48.187767) - (xy 107.198835 48.181446) (xy 106.951438 48.115156) (xy 106.935062 48.113) (xy 106.678938 48.113) (xy 106.662562 48.115156) - (xy 106.415165 48.181446) (xy 106.399905 48.187767) (xy 106.362675 48.209262) (xy 105.878799 48.209262) (xy 105.867061 48.210363) - (xy 105.607716 48.259433) (xy 105.587788 48.266828) (xy 105.398627 48.37604) (xy 105.383862 48.387814) (xy 105.236101 48.547063) - (xy 105.225463 48.562666) (xy 105.131206 48.758392) (xy 105.12564 48.776438) (xy 105.093967 48.986577) (xy 105.093262 48.995979) - (xy 105.093262 49.24) (xy 103.820215 49.24) (xy 103.689 49.108785) (xy 103.689 49.020938) (xy 103.686844 49.004562) - (xy 103.620554 48.757165) (xy 103.614233 48.741905) (xy 103.486171 48.520095) (xy 103.476116 48.506991) (xy 103.295009 48.325884) - (xy 103.281905 48.315829) (xy 103.25 48.297409) (xy 103.25 45.232) (xy 103.506062 45.232) (xy 103.522438 45.229844) - (xy 103.769835 45.163554) (xy 103.785095 45.157233) (xy 103.9495 45.062314) (xy 104.113905 45.157233) (xy 104.129165 45.163554) - (xy 104.376562 45.229844) (xy 104.392938 45.232) (xy 104.649062 45.232) (xy 104.665438 45.229844) (xy 104.912835 45.163554) - (xy 104.928095 45.157233) (xy 105.149905 45.029171) (xy 105.163009 45.019116) (xy 105.193125 44.989) (xy 110.924724 44.989) - ) - ) - (filled_polygon - (pts - (xy 110.949992 39.020427) (xy 110.958615 39.029234) (xy 111.119488 39.164221) (xy 111.129657 39.171184) (xy 111.233077 39.22804) - (xy 111.142888 39.275994) (xy 111.132622 39.282815) (xy 110.969881 39.415544) (xy 110.961135 39.424229) (xy 110.925752 39.467) - (xy 102.591821 39.467) (xy 102.591809 39.467001) (xy 102.53651 39.467001) (xy 102.516921 39.470103) (xy 102.468806 39.485737) - (xy 102.418823 39.493653) (xy 102.399961 39.499782) (xy 102.354874 39.522755) (xy 102.306756 39.538389) (xy 102.289084 39.547394) - (xy 102.248153 39.577133) (xy 102.203066 39.600106) (xy 102.18702 39.611764) (xy 102.030593 39.768191) (xy 102.030588 39.768198) - (xy 102.029197 39.769589) (xy 102.02919 39.769594) (xy 101.872763 39.926022) (xy 101.861106 39.942067) (xy 101.838138 39.987145) - (xy 101.808394 40.028083) (xy 101.79939 40.045755) (xy 101.783753 40.09388) (xy 101.760782 40.138963) (xy 101.754653 40.157824) - (xy 101.746736 40.207809) (xy 101.731103 40.255923) (xy 101.728001 40.275511) (xy 101.728001 40.33081) (xy 101.728 40.330822) - (xy 101.728001 48.679146) (xy 101.691767 48.741905) (xy 101.685446 48.757165) (xy 101.682 48.770026) (xy 101.678554 48.757165) - (xy 101.672233 48.741905) (xy 101.544171 48.520095) (xy 101.534116 48.506991) (xy 101.353009 48.325884) (xy 101.339905 48.315829) - (xy 101.118095 48.187767) (xy 101.102835 48.181446) (xy 100.855438 48.115156) (xy 100.839062 48.113) (xy 100.582938 48.113) - (xy 100.566562 48.115156) (xy 100.319165 48.181446) (xy 100.303905 48.187767) (xy 100.082095 48.315829) (xy 100.068991 48.325884) - (xy 99.948 48.446875) (xy 99.948 42.363821) (xy 99.947999 42.363809) (xy 99.947999 42.30851) (xy 99.944897 42.288921) - (xy 99.929263 42.240806) (xy 99.921347 42.190823) (xy 99.915218 42.171961) (xy 99.892245 42.126874) (xy 99.876611 42.078756) - (xy 99.867606 42.061084) (xy 99.837867 42.020153) (xy 99.814894 41.975065) (xy 99.803236 41.95902) (xy 99.65399 41.809775) - (xy 99.61241 41.768195) (xy 99.612407 41.768191) (xy 99.45598 41.611764) (xy 99.439934 41.600106) (xy 99.394847 41.577133) - (xy 99.353916 41.547394) (xy 99.336244 41.538389) (xy 99.288126 41.522755) (xy 99.243039 41.499782) (xy 99.224176 41.493653) - (xy 99.174193 41.485737) (xy 99.126078 41.470103) (xy 99.106489 41.467001) (xy 99.051191 41.467001) (xy 99.051179 41.467) - (xy 98.547214 41.467) (xy 101.025216 38.989) (xy 110.924724 38.989) - ) - ) - (filled_polygon - (pts - (xy 98.426001 48.222112) (xy 98.345423 48.209967) (xy 98.336021 48.209262) (xy 97.902325 48.209262) (xy 97.865095 48.187767) - (xy 97.849835 48.181446) (xy 97.602438 48.115156) (xy 97.586062 48.113) (xy 97.329938 48.113) (xy 97.313562 48.115156) - (xy 97.066165 48.181446) (xy 97.050905 48.187767) (xy 97.013675 48.209262) (xy 96.578799 48.209262) (xy 96.567061 48.210363) - (xy 96.307716 48.259433) (xy 96.287788 48.266828) (xy 96.23141 48.299378) (xy 96.038095 48.187767) (xy 96.022835 48.181446) - (xy 95.778 48.115842) (xy 95.778 48.004938) (xy 95.775844 47.988562) (xy 95.709554 47.741165) (xy 95.703233 47.725905) - (xy 95.575171 47.504095) (xy 95.565116 47.490991) (xy 95.503 47.428876) (xy 95.503 47.333671) (xy 95.525396 47.340775) - (xy 95.537892 47.343386) (xy 95.71171 47.361655) (xy 95.7183 47.362) (xy 96.820819 47.362) (xy 96.826971 47.361699) - (xy 96.983165 47.346384) (xy 96.995255 47.34399) (xy 97.196295 47.283292) (xy 97.207689 47.278596) (xy 97.393112 47.180006) - (xy 97.403378 47.173185) (xy 97.566119 47.040456) (xy 97.574865 47.031772) (xy 97.708726 46.869961) (xy 97.715618 46.859743) - (xy 97.815501 46.675014) (xy 97.820277 46.663652) (xy 97.882376 46.463039) (xy 97.884855 46.450966) (xy 97.906806 46.242113) - (xy 97.906892 46.229788) (xy 97.887859 46.020649) (xy 97.885549 46.008543) (xy 97.826257 45.807083) (xy 97.82164 45.795656) - (xy 97.724346 45.60955) (xy 97.717597 45.599237) (xy 97.586008 45.435572) (xy 97.577385 45.426766) (xy 97.416512 45.291779) - (xy 97.406343 45.284816) (xy 97.302923 45.22796) (xy 97.393112 45.180006) (xy 97.403378 45.173185) (xy 97.566119 45.040456) - (xy 97.574865 45.031772) (xy 97.708726 44.869961) (xy 97.715618 44.859743) (xy 97.815501 44.675014) (xy 97.820277 44.663652) - (xy 97.882376 44.463039) (xy 97.884855 44.450966) (xy 97.906806 44.242113) (xy 97.906892 44.229788) (xy 97.887859 44.020649) - (xy 97.885549 44.008543) (xy 97.826257 43.807083) (xy 97.82164 43.795656) (xy 97.724346 43.60955) (xy 97.717597 43.599237) - (xy 97.586008 43.435572) (xy 97.577385 43.426766) (xy 97.416512 43.291779) (xy 97.406343 43.284816) (xy 97.302923 43.22796) - (xy 97.393112 43.180006) (xy 97.403378 43.173185) (xy 97.566119 43.040456) (xy 97.574865 43.031771) (xy 97.610248 42.989) - (xy 98.426 42.989) - ) - ) - (filled_polygon - (pts - (xy 93.981 44.111216) (xy 93.981 45.731787) (xy 93.922684 45.722551) (xy 93.862916 45.713085) (xy 93.843084 45.713085) - (xy 93.793109 45.721) (xy 92.296837 45.721) (xy 92.296837 45.623742) (xy 92.294681 45.607366) (xy 92.219863 45.328142) - (xy 92.20712 45.303662) (xy 92.07601 45.14741) (xy 92.059121 45.133239) (xy 91.88488 45.032641) (xy 91.864163 45.0251) - (xy 91.671462 44.991122) (xy 91.660501 44.990163) (xy 90.389377 44.990163) (xy 89.859361 44.460147) (xy 90.049677 44.547061) - (xy 90.06697 44.552139) (xy 90.296094 44.585082) (xy 90.305071 44.585724) (xy 91.561183 44.585724) (xy 91.574613 44.58428) - (xy 91.910353 44.511245) (xy 91.931187 44.502615) (xy 92.13162 44.373804) (xy 92.145241 44.362001) (xy 92.299783 44.183651) - (xy 92.309527 44.168489) (xy 92.388756 43.995) (xy 93.864785 43.995) - ) - ) - (filled_polygon - (pts - (xy 113.412 45.28869) (xy 113.406343 45.284816) (xy 113.302923 45.22796) (xy 113.393112 45.180006) (xy 113.403378 45.173185) - (xy 113.412 45.166153) - ) - ) - (filled_polygon - (pts - (xy 110.949992 43.020427) (xy 110.958615 43.029234) (xy 111.119488 43.164221) (xy 111.129657 43.171184) (xy 111.233077 43.22804) - (xy 111.142888 43.275994) (xy 111.132622 43.282815) (xy 110.969881 43.415544) (xy 110.961135 43.424229) (xy 110.925752 43.467) - (xy 105.257124 43.467) (xy 105.163009 43.372884) (xy 105.149905 43.362829) (xy 104.928095 43.234767) (xy 104.912835 43.228446) - (xy 104.665438 43.162156) (xy 104.649062 43.16) (xy 104.392938 43.16) (xy 104.376562 43.162156) (xy 104.139 43.225811) - (xy 104.139 42.925) (xy 110.873267 42.925) - ) - ) - (filled_polygon - (pts - (xy 113.412 43.28869) (xy 113.406343 43.284816) (xy 113.302923 43.22796) (xy 113.393112 43.180006) (xy 113.403378 43.173185) - (xy 113.412 43.166153) - ) - ) - (filled_polygon - (pts - (xy 93.465085 41.157916) (xy 93.473 41.207892) (xy 93.473001 42.473) (xy 92.383678 42.473) (xy 92.371745 42.418147) - (xy 92.363115 42.397312) (xy 92.234304 42.19688) (xy 92.222501 42.183259) (xy 92.044151 42.028717) (xy 92.028989 42.018973) - (xy 91.814323 41.920939) (xy 91.79703 41.915861) (xy 91.567906 41.882918) (xy 91.558929 41.882276) (xy 90.302817 41.882276) - (xy 90.289387 41.88372) (xy 89.953647 41.956755) (xy 89.932813 41.965385) (xy 89.75701 42.078367) (xy 89.75701 41.409832) - (xy 89.819849 41.464283) (xy 89.835011 41.474027) (xy 90.049677 41.572061) (xy 90.06697 41.577139) (xy 90.296094 41.610082) - (xy 90.305071 41.610724) (xy 91.561183 41.610724) (xy 91.574613 41.60928) (xy 91.910353 41.536245) (xy 91.931187 41.527615) - (xy 92.13162 41.398804) (xy 92.145241 41.387001) (xy 92.299783 41.208651) (xy 92.309527 41.193488) (xy 92.330757 41.147) - (xy 93.465085 41.147) - ) - ) - (filled_polygon - (pts - (xy 110.949992 41.020427) (xy 110.958615 41.029234) (xy 111.119488 41.164221) (xy 111.129657 41.171184) (xy 111.233077 41.22804) - (xy 111.142888 41.275994) (xy 111.132622 41.282815) (xy 110.985261 41.403) (xy 103.437891 41.403) (xy 103.387916 41.395085) - (xy 103.368084 41.395085) (xy 103.308316 41.404551) (xy 103.25 41.413787) (xy 103.25 40.989) (xy 110.924724 40.989) - ) - ) - (filled_polygon - (pts - (xy 113.412 41.28869) (xy 113.406343 41.284816) (xy 113.302923 41.22796) (xy 113.393112 41.180006) (xy 113.403378 41.173185) - (xy 113.412 41.166153) - ) - ) - (filled_polygon - (pts - (xy 94.811654 34.84645) (xy 94.818403 34.856763) (xy 94.949992 35.020428) (xy 94.958615 35.029234) (xy 95.119488 35.164221) - (xy 95.129657 35.171184) (xy 95.233077 35.22804) (xy 95.142888 35.275994) (xy 95.132622 35.282815) (xy 94.969881 35.415544) - (xy 94.961135 35.424228) (xy 94.827274 35.586039) (xy 94.820382 35.596257) (xy 94.720499 35.780986) (xy 94.715723 35.792348) - (xy 94.653624 35.992961) (xy 94.651145 36.005034) (xy 94.629194 36.213887) (xy 94.629108 36.226212) (xy 94.648141 36.435351) - (xy 94.650451 36.447457) (xy 94.709743 36.648917) (xy 94.71436 36.660344) (xy 94.811654 36.84645) (xy 94.818403 36.856763) - (xy 94.949992 37.020428) (xy 94.958615 37.029234) (xy 95.119488 37.164221) (xy 95.129657 37.171184) (xy 95.233077 37.22804) - (xy 95.142888 37.275994) (xy 95.132622 37.282815) (xy 94.969881 37.415544) (xy 94.961135 37.424228) (xy 94.827274 37.586039) - (xy 94.820382 37.596257) (xy 94.720499 37.780986) (xy 94.715723 37.792348) (xy 94.653624 37.992961) (xy 94.651145 38.005034) - (xy 94.629194 38.213887) (xy 94.629108 38.226212) (xy 94.648141 38.435351) (xy 94.650451 38.447457) (xy 94.709743 38.648917) - (xy 94.71436 38.660344) (xy 94.811654 38.84645) (xy 94.818403 38.856763) (xy 94.949992 39.020428) (xy 94.958615 39.029234) - (xy 95.119488 39.164221) (xy 95.129657 39.171184) (xy 95.233077 39.22804) (xy 95.142888 39.275994) (xy 95.132622 39.282815) - (xy 94.969881 39.415544) (xy 94.961135 39.424228) (xy 94.827274 39.586039) (xy 94.820382 39.596257) (xy 94.804841 39.625) - (xy 92.411305 39.625) (xy 92.371745 39.443147) (xy 92.363115 39.422312) (xy 92.234304 39.22188) (xy 92.222501 39.208259) - (xy 92.044151 39.053717) (xy 92.028989 39.043973) (xy 91.814323 38.945939) (xy 91.79703 38.940861) (xy 91.567906 38.907918) - (xy 91.558929 38.907276) (xy 90.302817 38.907276) (xy 90.289387 38.90872) (xy 89.953647 38.981755) (xy 89.932813 38.990385) - (xy 89.73238 39.119196) (xy 89.718759 39.130999) (xy 89.564217 39.309349) (xy 89.554473 39.324511) (xy 89.456439 39.539177) - (xy 89.451361 39.556471) (xy 89.441508 39.625) (xy 89.28 39.625) (xy 89.28 38.840623) (xy 92.292189 38.840624) - (xy 92.438562 38.879844) (xy 92.454938 38.882) (xy 92.711062 38.882) (xy 92.727438 38.879844) (xy 92.87381 38.840624) - (xy 92.911953 38.840624) (xy 92.925383 38.83918) (xy 93.156201 38.788969) (xy 93.177035 38.780339) (xy 93.316991 38.690395) - (xy 93.330612 38.678592) (xy 93.438077 38.554572) (xy 93.447821 38.53941) (xy 93.515991 38.390137) (xy 93.521069 38.372843) - (xy 93.536314 38.266811) (xy 93.544233 38.253096) (xy 93.550554 38.237836) (xy 93.616844 37.990438) (xy 93.619 37.974062) - (xy 93.619 37.717938) (xy 93.616844 37.701562) (xy 93.550554 37.454164) (xy 93.544423 37.439362) (xy 93.544424 35.818452) - (xy 93.579366 35.814224) (xy 93.594119 35.8106) (xy 93.61511 35.802669) (xy 93.637213 35.798811) (xy 93.651756 35.79442) - (xy 93.74339 35.754195) (xy 93.837009 35.71882) (xy 93.850473 35.711781) (xy 93.868968 35.699071) (xy 93.889659 35.689988) - (xy 93.903 35.682051) (xy 93.975052 35.626161) (xy 94.064458 35.564715) (xy 94.075854 35.554668) (xy 94.141039 35.481506) - (xy 94.799446 34.823099) - ) - ) - (filled_polygon - (pts - (xy 110.949992 33.020427) (xy 110.958615 33.029234) (xy 111.119488 33.164221) (xy 111.129657 33.171184) (xy 111.233077 33.22804) - (xy 111.142888 33.275994) (xy 111.132622 33.282815) (xy 110.969881 33.415544) (xy 110.961135 33.424228) (xy 110.827274 33.586039) - (xy 110.820382 33.596257) (xy 110.720499 33.780986) (xy 110.715723 33.792348) (xy 110.653624 33.992961) (xy 110.651145 34.005034) - (xy 110.629194 34.213887) (xy 110.629108 34.226212) (xy 110.648141 34.435351) (xy 110.650451 34.447457) (xy 110.709743 34.648917) - (xy 110.71436 34.660344) (xy 110.811654 34.84645) (xy 110.818403 34.856763) (xy 110.949992 35.020428) (xy 110.958615 35.029234) - (xy 111.119488 35.164221) (xy 111.129657 35.171184) (xy 111.233077 35.22804) (xy 111.142888 35.275994) (xy 111.132622 35.282815) - (xy 110.969881 35.415544) (xy 110.961135 35.424228) (xy 110.827274 35.586039) (xy 110.820382 35.596257) (xy 110.720499 35.780986) - (xy 110.715723 35.792348) (xy 110.653624 35.992961) (xy 110.651145 36.005034) (xy 110.629194 36.213887) (xy 110.629108 36.226212) - (xy 110.648141 36.435351) (xy 110.650451 36.447457) (xy 110.709743 36.648917) (xy 110.71436 36.660344) (xy 110.811654 36.84645) - (xy 110.818403 36.856763) (xy 110.949992 37.020428) (xy 110.958615 37.029234) (xy 111.119488 37.164221) (xy 111.129657 37.171184) - (xy 111.233077 37.22804) (xy 111.142888 37.275994) (xy 111.132622 37.282815) (xy 110.969881 37.415544) (xy 110.961135 37.424229) - (xy 110.925752 37.467) (xy 101.404215 37.467) (xy 102.783216 36.088) (xy 102.871062 36.088) (xy 102.887438 36.085844) - (xy 103.134835 36.019554) (xy 103.150095 36.013233) (xy 103.371905 35.885171) (xy 103.385009 35.875116) (xy 103.566116 35.694009) - (xy 103.576171 35.680905) (xy 103.704233 35.459095) (xy 103.710554 35.443835) (xy 103.776844 35.196438) (xy 103.779 35.180062) - (xy 103.779 34.923938) (xy 103.776844 34.907562) (xy 103.710554 34.660165) (xy 103.704233 34.644905) (xy 103.576171 34.423095) - (xy 103.566116 34.409991) (xy 103.385009 34.228884) (xy 103.371905 34.218829) (xy 103.150095 34.090767) (xy 103.134835 34.084446) - (xy 102.887438 34.018156) (xy 102.871062 34.016) (xy 102.614938 34.016) (xy 102.598562 34.018156) (xy 102.351165 34.084446) - (xy 102.335905 34.090767) (xy 102.114095 34.218829) (xy 102.100991 34.228884) (xy 101.919884 34.409991) (xy 101.909829 34.423095) - (xy 101.781767 34.644905) (xy 101.775446 34.660165) (xy 101.709156 34.907562) (xy 101.707 34.923938) (xy 101.707 35.011784) - (xy 97.422219 39.296567) (xy 97.416512 39.291779) (xy 97.406343 39.284816) (xy 97.302923 39.22796) (xy 97.393112 39.180006) - (xy 97.403378 39.173185) (xy 97.566119 39.040456) (xy 97.574865 39.031772) (xy 97.708726 38.869961) (xy 97.715618 38.859743) - (xy 97.815501 38.675014) (xy 97.820277 38.663652) (xy 97.882376 38.463039) (xy 97.884855 38.450966) (xy 97.906806 38.242113) - (xy 97.906892 38.229788) (xy 97.887859 38.020649) (xy 97.885549 38.008543) (xy 97.826257 37.807083) (xy 97.82164 37.795656) - (xy 97.724346 37.60955) (xy 97.717597 37.599237) (xy 97.586008 37.435572) (xy 97.577385 37.426766) (xy 97.416512 37.291779) - (xy 97.406343 37.284816) (xy 97.302923 37.22796) (xy 97.393112 37.180006) (xy 97.403378 37.173185) (xy 97.566119 37.040456) - (xy 97.574865 37.031772) (xy 97.708726 36.869961) (xy 97.715618 36.859743) (xy 97.815501 36.675014) (xy 97.820277 36.663652) - (xy 97.882376 36.463039) (xy 97.884855 36.450966) (xy 97.906806 36.242113) (xy 97.906892 36.229788) (xy 97.887859 36.020649) - (xy 97.885549 36.008543) (xy 97.826257 35.807083) (xy 97.82164 35.795656) (xy 97.724346 35.60955) (xy 97.717597 35.599237) - (xy 97.586008 35.435572) (xy 97.577385 35.426766) (xy 97.416512 35.291779) (xy 97.406343 35.284816) (xy 97.302923 35.22796) - (xy 97.393112 35.180006) (xy 97.403378 35.173185) (xy 97.566119 35.040456) (xy 97.574865 35.031772) (xy 97.663816 34.924248) - (xy 97.684244 34.917611) (xy 97.701916 34.908606) (xy 97.742847 34.878867) (xy 97.787934 34.855894) (xy 97.80398 34.844236) - (xy 97.960407 34.687809) (xy 97.960412 34.687802) (xy 99.659215 32.989) (xy 110.924724 32.989) - ) - ) - (filled_polygon - (pts - (xy 113.412 39.28869) (xy 113.406343 39.284816) (xy 113.302923 39.22796) (xy 113.393112 39.180006) (xy 113.403378 39.173185) - (xy 113.412 39.166153) - ) - ) - (filled_polygon - (pts - (xy 113.412 37.28869) (xy 113.406343 37.284816) (xy 113.302923 37.22796) (xy 113.393112 37.180006) (xy 113.403378 37.173185) - (xy 113.412 37.166153) - ) - ) - (filled_polygon - (pts - (xy 90.265976 36.212175) (xy 89.28 36.212175) (xy 89.28 35.943837) (xy 90.265976 35.943837) - ) - ) - (filled_polygon - (pts - (xy 113.412 35.28869) (xy 113.406343 35.284816) (xy 113.302923 35.22796) (xy 113.393112 35.180006) (xy 113.403378 35.173185) - (xy 113.412 35.166153) - ) - ) - (filled_polygon - (pts - (xy 90.265976 33.460163) (xy 89.28 33.460163) (xy 89.28 33.188943) (xy 90.265976 33.188943) - ) - ) - (filled_polygon - (pts - (xy 113.412 33.28869) (xy 113.406343 33.284816) (xy 113.302923 33.22796) (xy 113.393112 33.180006) (xy 113.403378 33.173185) - (xy 113.412 33.166153) - ) - ) - (filled_polygon - (pts - (xy 111.339705 31.172708) (xy 111.328311 31.177404) (xy 111.142888 31.275994) (xy 111.132622 31.282815) (xy 110.969881 31.415544) - (xy 110.961135 31.424229) (xy 110.925752 31.467) (xy 99.288821 31.467) (xy 99.288809 31.467001) (xy 99.233509 31.467001) - (xy 99.21392 31.470103) (xy 99.165805 31.485737) (xy 99.115823 31.493654) (xy 99.096961 31.499782) (xy 99.051873 31.522755) - (xy 99.003754 31.53839) (xy 98.986083 31.547395) (xy 98.945149 31.577135) (xy 98.900066 31.600106) (xy 98.88402 31.611764) - (xy 98.841229 31.654556) (xy 97.809296 32.686489) (xy 97.815501 32.675014) (xy 97.820277 32.663652) (xy 97.882376 32.463039) - (xy 97.884855 32.450966) (xy 97.906806 32.242113) (xy 97.906892 32.229788) (xy 97.887859 32.020649) (xy 97.885549 32.008543) - (xy 97.826257 31.807083) (xy 97.82164 31.795656) (xy 97.724346 31.60955) (xy 97.717597 31.599237) (xy 97.586008 31.435572) - (xy 97.577385 31.426766) (xy 97.416512 31.291779) (xy 97.406343 31.284816) (xy 97.222316 31.183646) (xy 97.210988 31.17879) - (xy 97.010603 31.115225) (xy 97.004741 31.114) (xy 111.534154 31.114) - ) - ) - (filled_polygon - (pts - (xy 93.998633 31.115776) (xy 93.98388 31.1194) (xy 93.740991 31.21118) (xy 93.727527 31.218218) (xy 93.544424 31.344061) - (xy 93.544424 31.192967) (xy 93.54298 31.179537) (xy 93.528723 31.114) (xy 94.013309 31.114) - ) - ) - (filled_polygon - (pts - (xy 113.412 31.28869) (xy 113.406343 31.284816) (xy 113.222316 31.183646) (xy 113.210988 31.17879) (xy 113.010603 31.115225) - (xy 113.004741 31.114) (xy 113.412 31.114) + (xy 90.236545 68.178131) (xy 90.304659 68.2) (xy 89.28 68.2) (xy 89.28 45.626499) (xy 89.313163 45.626499) + (xy 89.313163 47.340258) (xy 89.315319 47.356634) (xy 89.390137 47.635859) (xy 89.40288 47.660339) (xy 89.53399 47.81659) + (xy 89.550879 47.830761) (xy 89.72512 47.931359) (xy 89.745837 47.9389) (xy 89.782029 47.945282) (xy 89.657029 48.073822) + (xy 89.650263 48.082074) (xy 89.513683 48.280798) (xy 89.508403 48.290073) (xy 89.40726 48.508968) (xy 89.403619 48.519) + (xy 89.329085 48.795233) (xy 89.404734 48.894) (xy 92.197863 48.894) (xy 92.273373 48.804487) (xy 92.247499 48.653114) + (xy 92.244817 48.642784) (xy 92.164723 48.415343) (xy 92.160339 48.405612) (xy 92.043067 48.194916) (xy 92.037107 48.186064) + (xy 91.886013 47.99814) (xy 91.878647 47.990417) (xy 91.81613 47.935107) (xy 91.958859 47.896863) (xy 91.983339 47.88412) + (xy 92.13959 47.75301) (xy 92.153761 47.736121) (xy 92.254359 47.56188) (xy 92.2619 47.541163) (xy 92.295878 47.348462) + (xy 92.296837 47.337501) (xy 92.296837 45.623742) (xy 92.294681 45.607366) (xy 92.219863 45.328142) (xy 92.20712 45.303662) + (xy 92.07601 45.14741) (xy 92.059121 45.133239) (xy 91.88488 45.032641) (xy 91.864163 45.0251) (xy 91.671462 44.991122) + (xy 91.660501 44.990163) (xy 89.946742 44.990163) (xy 89.930366 44.992319) (xy 89.651142 45.067137) (xy 89.626662 45.07988) + (xy 89.47041 45.21099) (xy 89.456239 45.227879) (xy 89.355641 45.40212) (xy 89.3481 45.422837) (xy 89.314122 45.615538) + (xy 89.313163 45.626499) (xy 89.28 45.626499) (xy 89.28 43.381808) (xy 89.417776 43.381808) (xy 89.417776 43.646683) + (xy 89.41922 43.660113) (xy 89.492255 43.995853) (xy 89.500885 44.016687) (xy 89.629696 44.21712) (xy 89.641499 44.230741) + (xy 89.819849 44.385283) (xy 89.835011 44.395027) (xy 90.049677 44.493061) (xy 90.06697 44.498139) (xy 90.296094 44.531082) + (xy 90.305071 44.531724) (xy 90.730191 44.531724) (xy 90.804 44.457915) (xy 91.059999 44.457915) (xy 91.133808 44.531724) + (xy 91.561183 44.531724) (xy 91.574613 44.53028) (xy 91.910353 44.457245) (xy 91.931187 44.448615) (xy 92.13162 44.319804) + (xy 92.145241 44.308001) (xy 92.299783 44.129651) (xy 92.309527 44.114489) (xy 92.407561 43.899823) (xy 92.412639 43.88253) + (xy 92.445582 43.653406) (xy 92.446224 43.644429) (xy 92.446224 43.381809) (xy 92.372415 43.308) (xy 91.133809 43.307999) + (xy 91.06 43.381808) (xy 91.059999 44.457915) (xy 90.804 44.457915) (xy 90.804001 43.381809) (xy 90.730192 43.308) + (xy 89.491585 43.307999) (xy 89.417776 43.381808) (xy 89.28 43.381808) (xy 89.28 42.715571) (xy 89.417776 42.715571) + (xy 89.417776 42.978191) (xy 89.491585 43.052) (xy 90.730191 43.052001) (xy 90.804 42.978192) (xy 90.804 42.978191) + (xy 91.059999 42.978191) (xy 91.133808 43.052) (xy 92.372415 43.052001) (xy 92.446224 42.978192) (xy 92.446224 42.713317) + (xy 92.44478 42.699887) (xy 92.371745 42.364147) (xy 92.363115 42.343313) (xy 92.234304 42.14288) (xy 92.222501 42.129259) + (xy 92.044151 41.974717) (xy 92.028989 41.964973) (xy 91.814323 41.866939) (xy 91.79703 41.861861) (xy 91.567906 41.828918) + (xy 91.558929 41.828276) (xy 91.133809 41.828276) (xy 91.06 41.902085) (xy 91.059999 42.978191) (xy 90.804 42.978191) + (xy 90.804001 41.902085) (xy 90.730192 41.828276) (xy 90.302817 41.828276) (xy 90.289387 41.82972) (xy 89.953647 41.902755) + (xy 89.932813 41.911385) (xy 89.73238 42.040196) (xy 89.718759 42.051999) (xy 89.564217 42.230349) (xy 89.554473 42.245511) + (xy 89.456439 42.460177) (xy 89.451361 42.47747) (xy 89.418418 42.706594) (xy 89.417776 42.715571) (xy 89.28 42.715571) + (xy 89.28 39.740571) (xy 89.417776 39.740571) (xy 89.417776 40.671683) (xy 89.41922 40.685113) (xy 89.492255 41.020853) + (xy 89.500885 41.041687) (xy 89.629696 41.24212) (xy 89.641499 41.255741) (xy 89.819849 41.410283) (xy 89.835011 41.420027) + (xy 90.049677 41.518061) (xy 90.06697 41.523139) (xy 90.296094 41.556082) (xy 90.305071 41.556724) (xy 91.561183 41.556724) + (xy 91.574613 41.55528) (xy 91.910353 41.482245) (xy 91.931187 41.473615) (xy 92.13162 41.344804) (xy 92.145241 41.333001) + (xy 92.299783 41.154651) (xy 92.309527 41.139489) (xy 92.407561 40.924823) (xy 92.412639 40.90753) (xy 92.445582 40.678406) + (xy 92.446224 40.669429) (xy 92.446224 39.738317) (xy 92.44478 39.724887) (xy 92.371745 39.389147) (xy 92.363115 39.368313) + (xy 92.234304 39.16788) (xy 92.222501 39.154259) (xy 92.044151 38.999717) (xy 92.028989 38.989973) (xy 91.814323 38.891939) + (xy 91.79703 38.886861) (xy 91.567906 38.853918) (xy 91.558929 38.853276) (xy 90.302817 38.853276) (xy 90.289387 38.85472) + (xy 89.953647 38.927755) (xy 89.932813 38.936385) (xy 89.73238 39.065196) (xy 89.718759 39.076999) (xy 89.564217 39.255349) + (xy 89.554473 39.270511) (xy 89.456439 39.485177) (xy 89.451361 39.50247) (xy 89.418418 39.731594) (xy 89.417776 39.740571) + (xy 89.28 39.740571) (xy 89.28 38.843837) (xy 90.603191 38.843837) (xy 90.677 38.770028) (xy 90.932999 38.770028) + (xy 91.006808 38.843837) (xy 92.913258 38.843837) (xy 92.929634 38.841681) (xy 93.208859 38.766863) (xy 93.233339 38.75412) + (xy 93.38959 38.62301) (xy 93.403761 38.606121) (xy 93.504359 38.43188) (xy 93.5119 38.411163) (xy 93.545878 38.218462) + (xy 93.546837 38.207501) (xy 93.546837 37.728809) (xy 93.473028 37.655) (xy 91.006809 37.654999) (xy 90.933 37.728808) + (xy 90.932999 38.770028) (xy 90.677 38.770028) (xy 90.677001 37.728808) (xy 90.677 37.728807) (xy 90.677 37.325191) + (xy 90.932999 37.325191) (xy 91.006808 37.399) (xy 93.473028 37.399001) (xy 93.546837 37.325192) (xy 93.546837 36.843742) + (xy 93.544681 36.827366) (xy 93.469863 36.548142) (xy 93.45712 36.523662) (xy 93.32601 36.36741) (xy 93.309121 36.353239) + (xy 93.13488 36.252641) (xy 93.114163 36.2451) (xy 92.921462 36.211122) (xy 92.910501 36.210163) (xy 91.006809 36.210163) + (xy 90.933 36.283972) (xy 90.932999 37.325191) (xy 90.677 37.325191) (xy 90.677001 36.283972) (xy 90.603192 36.210163) + (xy 89.28 36.210163) (xy 89.28 35.943837) (xy 90.872658 35.943837) (xy 90.889034 35.941681) (xy 91.168259 35.866863) + (xy 91.192739 35.85412) (xy 91.34899 35.72301) (xy 91.363161 35.706121) (xy 91.463759 35.53188) (xy 91.4713 35.511163) + (xy 91.505278 35.318462) (xy 91.506237 35.307501) (xy 91.506237 34.093742) (xy 91.504081 34.077366) (xy 91.429263 33.798142) + (xy 91.41652 33.773662) (xy 91.28541 33.61741) (xy 91.268521 33.603239) (xy 91.09428 33.502641) (xy 91.073563 33.4951) + (xy 90.880862 33.461122) (xy 90.869901 33.460163) (xy 89.28 33.460163) (xy 89.28 33.193837) (xy 90.603191 33.193837) + (xy 90.677 33.120028) (xy 90.932999 33.120028) (xy 91.006808 33.193837) (xy 92.913258 33.193837) (xy 92.929634 33.191681) + (xy 93.208859 33.116863) (xy 93.233339 33.10412) (xy 93.38959 32.97301) (xy 93.403761 32.956121) (xy 93.504359 32.78188) + (xy 93.5119 32.761163) (xy 93.545878 32.568462) (xy 93.546837 32.557501) (xy 93.546837 32.078809) (xy 93.473028 32.005) + (xy 91.006809 32.004999) (xy 90.933 32.078808) (xy 90.932999 33.120028) (xy 90.677 33.120028) (xy 90.677001 32.078808) + (xy 90.677 32.078807) (xy 90.677001 31.749) (xy 93.473028 31.749001) (xy 93.546837 31.675192) (xy 93.546837 31.193742) + (xy 93.544681 31.177366) (xy 93.527702 31.114) (xy 100.361608 31.114) ) ) ) diff --git a/PCB/LORA_ATTINY_v3.kicad_pcb-bak b/PCB/LORA_ATTINY_v3.kicad_pcb-bak index 0a565a0..ea22bb6 100644 --- a/PCB/LORA_ATTINY_v3.kicad_pcb-bak +++ b/PCB/LORA_ATTINY_v3.kicad_pcb-bak @@ -2,8 +2,8 @@ (general (thickness 1.6) - (drawings 4) - (tracks 182) + (drawings 5) + (tracks 191) (modules 12) (nets 29) ) @@ -61,12 +61,12 @@ (dimension_units 0) (dimension_precision 1) ) - (pad_size 1.524 1.524) - (pad_drill 0.762) + (pad_size 1 1) + (pad_drill 0) (pad_to_mask_clearance 0.051) (solder_mask_min_width 0.25) (aux_axis_origin 0 0) - (visible_elements FFFDF7FF) + (visible_elements FFFFFFFF) (pcbplotparams (layerselection 0x010fc_ffffffff) (usegerberextensions false) @@ -169,7 +169,7 @@ (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row") (tags "Through hole pin header THT 1x04 2.54mm single row") (path "/00000000-0000-0000-0000-00005ea220ba") - (fp_text reference "J2" (at 0.254 10.414 90) (layer "F.SilkS") + (fp_text reference "J2" (at -2.1336 -0.1016 180) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "Conn_01x04_Male-Connector" (at 0 9.95 90) (layer "F.Fab") @@ -213,7 +213,7 @@ (descr "Through hole straight pin header, 1x09, 2.54mm pitch, single row") (tags "Through hole pin header THT 1x09 2.54mm single row") (path "/00000000-0000-0000-0000-00005ea21977") - (fp_text reference "J1" (at 0 -2.33) (layer "F.SilkS") + (fp_text reference "J1" (at 2.3876 -0.4826) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "Conn_01x09_Male-Connector" (at 0 22.65) (layer "F.Fab") @@ -268,7 +268,7 @@ (tags "CR2032 BR2032 BatteryHolder Battery") (path "/00000000-0000-0000-0000-00005d6f40ee") (attr smd) - (fp_text reference "BAT1" (at 12.99972 -5.82676) (layer "B.SilkS") + (fp_text reference "BAT1" (at 13.0556 -5.1816 -90) (layer "B.SilkS") (effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror)) ) (fp_text value "Battery_Cell" (at 0 -11.75 -90) (layer "B.Fab") @@ -349,7 +349,7 @@ (tags "capacitor handsolder") (path "/00000000-0000-0000-0000-00005d694e97") (attr smd) - (fp_text reference "C2" (at -1.1795 -1.905 270) (layer "F.SilkS") + (fp_text reference "C2" (at -0.0619 -1.8288) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "100n" (at 0 1.82 180) (layer "F.Fab") @@ -385,7 +385,7 @@ (tags "SOIC SO") (path "/00000000-0000-0000-0000-00005e9fca2b") (attr smd) - (fp_text reference "U1" (at 0.4445 -7.366) (layer "F.SilkS") + (fp_text reference "U1" (at -6.9342 -3.0988) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "ATtiny3216-S" (at 0 7.35) (layer "F.Fab") @@ -529,7 +529,7 @@ (tags "resistor handsolder") (path "/00000000-0000-0000-0000-00005e0cabaf") (attr smd) - (fp_text reference "R2" (at 0.1 1.778 90) (layer "F.SilkS") + (fp_text reference "R2" (at 0.1 1.778 -90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "47K" (at 0 1.82 90) (layer "F.Fab") @@ -565,7 +565,7 @@ (tags "resistor handsolder") (path "/00000000-0000-0000-0000-00005d6827f4") (attr smd) - (fp_text reference "R1" (at -1.651 -2.286 90) (layer "F.SilkS") + (fp_text reference "R1" (at 0.0254 -1.7272 180) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "100" (at 0 1.82 180) (layer "F.Fab") @@ -600,7 +600,7 @@ (descr "Through hole straight pin header, 2x03, 2.54mm pitch, double rows") (tags "Through hole pin header THT 2x03 2.54mm double row") (path "/00000000-0000-0000-0000-00005d69c5bf") - (fp_text reference "PRG1" (at 0.9525 -2.0955 270) (layer "F.SilkS") + (fp_text reference "PRG1" (at 1.1176 -2.4638 -90) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "PRG" (at 1.27 7.41 90) (layer "F.Fab") @@ -651,7 +651,7 @@ (tags "LED handsolder") (path "/00000000-0000-0000-0000-00005d67f14d") (attr smd) - (fp_text reference "D1" (at 1.5605 2.032 90) (layer "F.SilkS") + (fp_text reference "D1" (at 0.0111 1.905 180) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value "LED" (at 0 1.82 180) (layer "F.Fab") @@ -719,75 +719,77 @@ ) ) - (module "SMA_Multi:SMA_Edge_UFL_Combo" (layer "B.Cu") (tedit 5E2D63D1) (tstamp 5c2581a4-fc96-4ff2-9408-e7dbaf2996bf) - (at 90.805 34.702 90) + (module "SMA_Multi:SMA_Edge_UFL_Combo" (layer "F.Cu") (tedit 5EA27E13) (tstamp 5c2581a4-fc96-4ff2-9408-e7dbaf2996bf) + (at 90.805 34.702 -90) (descr "Connector SMA, 0Hz to 20GHz, 50Ohm, Edge Mount (http://suddendocs.samtec.com/prints/sma-j-p-x-st-em1-mkt.pdf)") (tags "SMA Straight Samtec Edge Mount") (path "/00000000-0000-0000-0000-00005d699dfd") (attr smd) - (fp_text reference "ANT1" (at -2.159 3.3528 90) (layer "B.SilkS") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (fp_text reference "ANT1" (at -2.159 -3.3528 -90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value "Antenna" (at 0 -13 270) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (fp_text value "Antenna" (at 0 13 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -0.25 2.76) (end 0 2.26) (layer "B.SilkS") (width 0.12)) - (fp_line (start 0.25 2.76) (end -0.25 2.76) (layer "B.SilkS") (width 0.12)) - (fp_line (start 0 2.26) (end 0.25 2.76) (layer "B.SilkS") (width 0.12)) - (fp_line (start 0 -3.1) (end -0.64 -2.1) (layer "B.Fab") (width 0.1)) - (fp_line (start 0.64 -2.1) (end 0 -3.1) (layer "B.Fab") (width 0.1)) - (fp_text user "${REFERENCE}" (at 0 -4.79 90) (layer "B.Fab") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (fp_line (start -0.25 -2.76) (end 0 -2.26) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0.25 -2.76) (end -0.25 -2.76) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0 -2.26) (end 0.25 -2.76) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0 3.1) (end -0.64 2.1) (layer "F.Fab") (width 0.1)) + (fp_line (start 0.64 2.1) (end 0 3.1) (layer "F.Fab") (width 0.1)) + (fp_text user "${REFERENCE}" (at 0 4.79 -90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 4 -2.6) (end 4 2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 3.68 -12.12) (end -3.68 -12.12) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -4 -2.6) (end -4 2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -4 2.6) (end 4 2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 4 -2.6) (end 4 2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 3.68 -12.12) (end -3.68 -12.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -4 -2.6) (end -4 2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -4 2.6) (end 4 2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 3.165 -11.62) (end -3.165 -11.62) (layer "B.Fab") (width 0.1)) - (fp_line (start 3.175 1.71) (end 3.175 -11.62) (layer "B.Fab") (width 0.1)) - (fp_line (start 3.175 1.71) (end 2.365 1.71) (layer "B.Fab") (width 0.1)) - (fp_line (start 2.365 1.71) (end 2.365 -2.1) (layer "B.Fab") (width 0.1)) - (fp_line (start 2.365 -2.1) (end -2.365 -2.1) (layer "B.Fab") (width 0.1)) - (fp_line (start -2.365 -2.1) (end -2.365 1.71) (layer "B.Fab") (width 0.1)) - (fp_line (start -2.365 1.71) (end -3.175 1.71) (layer "B.Fab") (width 0.1)) - (fp_line (start -3.175 1.71) (end -3.175 -11.62) (layer "B.Fab") (width 0.1)) - (fp_line (start 4.1 -2.1) (end -4.1 -2.1) (layer "Dwgs.User") (width 0.1)) - (fp_text user "PCB Edge" (at 0 -2.6 270) (layer "Dwgs.User") + (fp_line (start 4 2.6) (end 4 -2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -4 2.6) (end -4 -2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -4 -2.6) (end 4 -2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 4 2.6) (end 4 -2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -4 2.6) (end -4 -2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -4 -2.6) (end 4 -2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 3.165 11.62) (end -3.165 11.62) (layer "F.Fab") (width 0.1)) + (fp_line (start 3.175 -1.71) (end 3.175 11.62) (layer "F.Fab") (width 0.1)) + (fp_line (start 3.175 -1.71) (end 2.365 -1.71) (layer "F.Fab") (width 0.1)) + (fp_line (start 2.365 -1.71) (end 2.365 2.1) (layer "F.Fab") (width 0.1)) + (fp_line (start 2.365 2.1) (end -2.365 2.1) (layer "F.Fab") (width 0.1)) + (fp_line (start -2.365 2.1) (end -2.365 -1.71) (layer "F.Fab") (width 0.1)) + (fp_line (start -2.365 -1.71) (end -3.175 -1.71) (layer "F.Fab") (width 0.1)) + (fp_line (start -3.175 -1.71) (end -3.175 11.62) (layer "F.Fab") (width 0.1)) + (fp_line (start 4.1 2.1) (end -4.1 2.1) (layer "Dwgs.User") (width 0.1)) + (fp_text user "PCB Edge" (at 0 2.6 90) (layer "Dwgs.User") (effects (font (size 0.5 0.5) (thickness 0.1))) ) - (fp_line (start -3.68 -2.6) (end -4 -2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -3.68 -12.12) (end -3.68 -2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 3.68 -2.6) (end 4 -2.6) (layer "B.CrtYd") (width 0.05)) - (fp_line (start 3.68 -2.6) (end 3.68 -12.12) (layer "B.CrtYd") (width 0.05)) - (fp_line (start -3.68 -2.6) (end -4 -2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -3.68 -12.12) (end -3.68 -2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 4 -2.6) (end 3.68 -2.6) (layer "F.CrtYd") (width 0.05)) - (fp_line (start 3.68 -2.6) (end 3.68 -12.12) (layer "F.CrtYd") (width 0.05)) - (fp_line (start -1.95 -2) (end -0.84 -2) (layer "B.SilkS") (width 0.12)) - (fp_line (start 0.84 -2) (end 1.95 -2) (layer "B.SilkS") (width 0.12)) - (pad "1" thru_hole rect (at 0 -0.9906 90) (size 1.2 2.1) (drill 1) (layers *.Cu *.Mask) + (fp_line (start -3.68 2.6) (end -4 2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 3.68 2.6) (end 4 2.6) (layer "F.CrtYd") (width 0.05)) + (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer "F.CrtYd") (width 0.05)) + (fp_line (start -3.68 2.6) (end -4 2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 4 2.6) (end 3.68 2.6) (layer "B.CrtYd") (width 0.05)) + (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer "B.CrtYd") (width 0.05)) + (fp_line (start -1.95 2) (end -0.84 2) (layer "F.SilkS") (width 0.12)) + (fp_line (start 0.84 2) (end 1.95 2) (layer "F.SilkS") (width 0.12)) + (pad "1" thru_hole rect (at 0 0.9906 270) (size 1.2 2.1) (drill 1) (layers *.Cu *.Mask) (net 1 "Net-(ANT1-Pad1)") (pinfunction "A") (tstamp ace3968f-3b0a-45ce-91f4-2bd6417606e4)) - (pad "2" smd rect (at 2.825 0 90) (size 1.35 4.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a3890449-dbf9-4e0a-9e99-d6f95bcc863f)) - (pad "2" smd rect (at -2.825 0 90) (size 1.35 4.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b3131ef5-cf5b-4537-9c72-ba8b37a761c7)) - (pad "2" smd custom (at 1.5494 1.6002 90) (size 1 1) (layers "B.Cu" "B.Paste" "B.Mask") - (zone_connect 0) + (pad "2" smd rect (at 2.825 0 270) (size 1.35 4.2) (layers "B.Cu" "B.Paste" "B.Mask") + (net 3 "GND") (tstamp a3890449-dbf9-4e0a-9e99-d6f95bcc863f)) + (pad "2" smd rect (at -2.825 0 270) (size 1.35 4.2) (layers "B.Cu" "B.Paste" "B.Mask") + (net 3 "GND") (tstamp b3131ef5-cf5b-4537-9c72-ba8b37a761c7)) + (pad "2" smd custom (at 1.5494 -1.6002 270) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "GND") (zone_connect 0) (options (clearance outline) (anchor rect)) (primitives (gr_poly (pts - (xy -0.5 0.5) (xy 1.95 0.5) (xy 1.95 -3.7) (xy 0.6 -3.7) (xy 0.6 -1.5) - (xy -0.5 -1.5)) (width 0)) + (xy -0.5 -0.5) (xy 1.95 -0.5) (xy 1.95 3.7) (xy 0.6 3.7) (xy 0.6 1.5) + (xy -0.5 1.5)) (width 0)) ) (tstamp add5c039-e936-4075-93e2-daf3fcd50757)) - (pad "2" smd custom (at -3.00228 1.6002 90) (size 1 1) (layers "B.Cu" "B.Paste" "B.Mask") - (zone_connect 0) + (pad "2" smd custom (at -3.00228 -1.6002 270) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "GND") (zone_connect 0) (options (clearance outline) (anchor rect)) (primitives (gr_poly (pts - (xy -0.5 0.5) (xy 1.95 0.5) (xy 1.95 -1.5) (xy 0.85 -1.5) (xy 0.85 -3.7) - (xy -0.5 -3.7)) (width 0)) + (xy -0.5 -0.5) (xy 1.95 -0.5) (xy 1.95 1.5) (xy 0.85 1.5) (xy 0.85 3.7) + (xy -0.5 3.7)) (width 0)) ) (tstamp cfb8804a-b4e3-4e9c-b020-d0a3ae6b0b51)) (model "${KISYS3DMOD}/Connector_Coaxial.3dshapes/SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount.wrl" (at (xyz 0 0 0)) @@ -796,14 +798,27 @@ ) ) + (gr_text "TinyLora v3" (at 93.5482 53.9496 270) (layer "B.SilkS") (tstamp 862fd209-ba08-4c14-ae0f-ca461798676a) + (effects (font (size 1.5 1.5) (thickness 0.15)) (justify mirror)) + ) (gr_line (start 88.646 68.834) (end 88.646 30.48) (layer "Edge.Cuts") (width 0.05) (tstamp 69c6ac15-c663-4251-aa76-bcca241035f7)) (gr_line (start 114.046 68.834) (end 88.646 68.834) (layer "Edge.Cuts") (width 0.05) (tstamp 6acb43c1-5550-4b69-8007-33afe5a01a62)) (gr_line (start 114.046 30.48) (end 114.046 68.834) (layer "Edge.Cuts") (width 0.05) (tstamp fb58ff92-cfd2-4c65-a649-73a230fcc032)) (gr_line (start 88.646 30.48) (end 114.046 30.48) (layer "Edge.Cuts") (width 0.05) (tstamp e1ccaccc-507f-4d03-bd91-9f156bbd2c19)) + (segment (start 92.583 31.369) (end 93.0148 30.9372) (width 0.25) (layer "F.Cu") (net 3) (tstamp 406bd569-c0e1-406f-9cdd-a02d95d21814)) + (segment (start 92.583 31.877) (end 92.583 31.369) (width 0.25) (layer "F.Cu") (net 3) (tstamp 5236e668-30fd-4571-bb3b-145e2671cba9)) + (segment (start 97.344 34.228) (end 99.2632 32.3088) (width 0.25) (layer "F.Cu") (net 3) (tstamp aa83b93d-6ac5-4857-a38b-bbed308b4c15)) + (segment (start 99.2632 32.3088) (end 99.344 32.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp c4e05532-572d-48db-a605-55d335972850)) + (segment (start 92.964 31.0896) (end 97.8408 31.0896) (width 0.5) (layer "F.Cu") (net 3) (tstamp d8f51761-ee50-4d78-93ce-45f79ec88810)) + (segment (start 97.8916 30.9372) (end 99.2632 32.3088) (width 0.25) (layer "F.Cu") (net 3) (tstamp 1e976c57-f4ef-45b8-a03c-53aa99da1c04)) + (segment (start 92.583 37.846) (end 92.583 37.211) (width 0.25) (layer "F.Cu") (net 3) (tstamp ade6e135-23ff-4c35-9911-f23d45dfb40c)) + (segment (start 92.583 37.211) (end 95.566 34.228) (width 0.5) (layer "F.Cu") (net 3) (tstamp bbe508c2-1659-483d-8759-d96e28cc2816)) + (segment (start 95.566 34.228) (end 96.268 34.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 50e8f587-ff11-44be-aaf8-26cdd3b6bebe)) + (segment (start 96.268 34.228) (end 96.201 34.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp e8b450ac-784f-4466-84d7-36b818695da6)) (segment (start 96.268 34.228) (end 95.768 34.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp d2f74356-b468-4ff3-8338-d9b2d28a05a7)) - (via (at 92.583 31.877) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 0) (tstamp dc22343d-0791-49fa-96b9-d70e71c353ee)) - (via (at 92.583 37.846) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 0) (tstamp 12c2c92f-0529-4638-a41f-4534bc4187f0)) + (via (at 92.583 31.877) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp dc22343d-0791-49fa-96b9-d70e71c353ee)) + (via (at 92.583 37.846) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 12c2c92f-0529-4638-a41f-4534bc4187f0)) (segment (start 94.107 33.909) (end 94.107 32.385) (width 1) (layer "F.Cu") (net 1) (tstamp 0406c61b-96d0-4790-8a79-f574ce717eb3)) (segment (start 89.8652 34.702) (end 93.314 34.702) (width 1) (layer "F.Cu") (net 1) (tstamp bef0c5c6-128a-410f-b947-03c864137057)) (segment (start 93.314 34.702) (end 94.107 33.909) (width 1) (layer "F.Cu") (net 1) (tstamp e8dcef29-3270-4e37-9d9f-403cd892117b)) @@ -811,11 +826,11 @@ (segment (start 94.107 32.385) (end 94.264 32.228) (width 0.25) (layer "F.Cu") (net 1) (tstamp 5c059e3a-8301-4a6d-84f1-e1ca2ee1798f)) (segment (start 96.237 40.259) (end 96.268 40.228) (width 0.25) (layer "F.Cu") (net 2) (tstamp 8b7e1740-c55b-4179-ad22-6457972a94e7)) (via (at 102.743 35.052) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 03817789-2ae7-4b6f-8776-70d30d5f6f8f)) - (segment (start 92.583 31.877) (end 92.837 31.623) (width 0.25) (layer "B.Cu") (net 0) (tstamp f4979c02-f7ee-4952-bda0-e2ae02a61565)) - (segment (start 91.1098 31.877) (end 92.583 31.877) (width 0.25) (layer "B.Cu") (net 0) (tstamp 72a96e8a-0321-4f10-9a18-d05c82fef802)) - (segment (start 91.494201 37.142599) (end 91.1098 37.527) (width 0.25) (layer "B.Cu") (net 0) (tstamp c6b55d9a-b04b-4efc-94e6-e93648486ea3)) - (segment (start 91.494201 32.261401) (end 91.494201 37.142599) (width 0.25) (layer "B.Cu") (net 0) (tstamp 1b115068-2b63-4d80-a9a8-c9837460297f)) - (segment (start 91.1098 31.877) (end 91.494201 32.261401) (width 0.25) (layer "B.Cu") (net 0) (tstamp b4c7a74b-92d6-42d7-9435-6ab8b8345b5e)) + (segment (start 92.583 31.877) (end 92.837 31.623) (width 0.25) (layer "B.Cu") (net 3) (tstamp f4979c02-f7ee-4952-bda0-e2ae02a61565)) + (segment (start 91.1098 31.877) (end 92.583 31.877) (width 0.25) (layer "B.Cu") (net 3) (tstamp 72a96e8a-0321-4f10-9a18-d05c82fef802)) + (segment (start 91.494201 37.142599) (end 91.1098 37.527) (width 0.25) (layer "B.Cu") (net 3) (tstamp c6b55d9a-b04b-4efc-94e6-e93648486ea3)) + (segment (start 91.494201 32.261401) (end 91.494201 37.142599) (width 0.25) (layer "B.Cu") (net 3) (tstamp 1b115068-2b63-4d80-a9a8-c9837460297f)) + (segment (start 91.1098 31.877) (end 91.494201 32.261401) (width 0.25) (layer "B.Cu") (net 3) (tstamp b4c7a74b-92d6-42d7-9435-6ab8b8345b5e)) (via (at 90.932 40.386) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ea56346-5ba1-4521-a093-672433db7d93)) (segment (start 88.99601 40.386) (end 90.932 40.386) (width 0.25) (layer "F.Cu") (net 2) (tstamp a78b78da-ec7e-4acb-b681-4dc06b0f229b)) (segment (start 90.805 46.482) (end 88.99601 44.67301) (width 0.25) (layer "F.Cu") (net 2) (tstamp 67dffe86-9a78-4033-8109-4c03dc9fec31)) @@ -831,13 +846,10 @@ (segment (start 93.853 49.149) (end 95.631 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 5630d098-9da3-4584-bd20-3eb3b2909112)) (segment (start 90.805 46.482) (end 93.853 46.482) (width 0.25) (layer "F.Cu") (net 2) (tstamp 03bd9556-3d1a-4118-bba3-8e4d2c28da07)) (segment (start 93.853 46.482) (end 93.853 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 71d63c53-fee3-4ee8-8d3e-38c5d5339ba8)) - (via (at 96.268 34.228) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp aa66086e-4bef-4be3-9f27-f118156b3fa5)) (segment (start 90.932 43.18) (end 94.234 43.18) (width 0.25) (layer "B.Cu") (net 3) (tstamp b47856a8-8ece-4d6c-b3fb-8970fbba87aa)) - (segment (start 95.19 35.306) (end 96.268 34.228) (width 0.25) (layer "B.Cu") (net 3) (tstamp e98e36b0-727d-4c07-a5e0-43988156cefe)) (segment (start 94.234 35.306) (end 95.19 35.306) (width 0.25) (layer "B.Cu") (net 3) (tstamp c2501879-7304-44d1-bc63-b0763e09c5e0)) (segment (start 94.234 43.18) (end 94.234 35.306) (width 0.25) (layer "B.Cu") (net 3) (tstamp 337ec49e-6318-431f-a4c8-823772c01be7)) (segment (start 99.344 32.228) (end 112.268 32.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 40b61627-fb87-4e26-be14-9c6364ef5da2)) - (segment (start 97.344 34.228) (end 99.344 32.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp e87edaec-f76f-4626-9af9-c746635142a9)) (segment (start 96.268 34.228) (end 97.344 34.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 07126343-6516-4de2-89b5-b3d8c5e946f2)) (segment (start 94.742 51.689) (end 97.458 51.689) (width 0.25) (layer "F.Cu") (net 21) (tstamp df065ffb-c02b-447d-be18-92fb64a953e5)) (segment (start 94.742 48.133) (end 94.742 49.874) (width 0.25) (layer "B.Cu") (net 21) (tstamp b9eb22b3-2da8-4fa2-b966-ee0a6011d57b)) @@ -859,7 +871,6 @@ (segment (start 97.79 41.148) (end 94.234 41.148) (width 0.25) (layer "F.Cu") (net 21) (tstamp 4b2f8178-efd2-4a07-b466-5945288d6c0f)) (segment (start 98.483 50.419) (end 97.458 50.419) (width 0.25) (layer "F.Cu") (net 24) (tstamp d2aad639-4685-460a-b12f-c7fe0efad049)) (segment (start 99.187 49.715) (end 98.483 50.419) (width 0.25) (layer "F.Cu") (net 24) (tstamp d07e7cdf-d3bb-4251-985a-7ba65b2d0dd6)) - (segment (start 99.187 42.419) (end 99.187 49.715) (width 0.25) (layer "F.Cu") (net 24) (tstamp 4838d0a3-cd98-4f99-9762-cab21adbd11a)) (segment (start 96.268 42.228) (end 98.996 42.228) (width 0.25) (layer "F.Cu") (net 24) (tstamp 0c43c976-a2ea-43b8-9f7e-a2f755c85b7d)) (segment (start 98.996 42.228) (end 99.187 42.419) (width 0.25) (layer "F.Cu") (net 24) (tstamp 08281647-a0a9-485e-900b-8f0140b02960)) (segment (start 112.268 49.149) (end 112.268 46.228) (width 0.25) (layer "F.Cu") (net 3) (tstamp 2271c560-0ae0-4037-9ecf-588f99a6e5b2)) @@ -948,7 +959,6 @@ (segment (start 106.758 60.579) (end 111.125 60.579) (width 0.25) (layer "F.Cu") (net 8) (tstamp 51b4e2ea-bde2-4196-ab5b-4e6c79d43ff0)) (segment (start 109.6375 54.7005) (end 109.674 54.737) (width 0.25) (layer "F.Cu") (net 4) (tstamp 4c89ecac-4bc8-405c-a673-3e04cd926bd5)) (segment (start 109.6375 51.308) (end 109.6375 54.7005) (width 0.25) (layer "F.Cu") (net 4) (tstamp 20bbb62a-263c-4e61-ac6d-a9fa38973358)) - (segment (start 108.331 51.566956) (end 107.818044 51.054) (width 0.25) (layer "F.Cu") (net 2) (tstamp c2581645-d3cb-433b-9852-3c1e66766b88)) (segment (start 108.331 57.023) (end 108.331 51.566956) (width 0.25) (layer "F.Cu") (net 2) (tstamp 3540ced7-f9c3-46da-a76f-c98fc38d9b00)) (segment (start 104.553 44.228) (end 104.521 44.196) (width 0.25) (layer "F.Cu") (net 18) (tstamp b005edb7-d540-4ce4-b7ec-608734bc7ad4)) (segment (start 112.268 44.228) (end 104.553 44.228) (width 0.25) (layer "F.Cu") (net 18) (tstamp 23aaadef-3d2f-466d-b8ce-25a6d232fe93)) @@ -975,7 +985,7 @@ (segment (start 103.505 50.001) (end 106.34 50.001) (width 0.25) (layer "F.Cu") (net 19) (tstamp 3f03d797-0591-4e53-8b83-01bd06f7da39)) (segment (start 102.653 49.149) (end 103.505 50.001) (width 0.25) (layer "F.Cu") (net 19) (tstamp 2f969c31-1a1a-41ca-a6db-21c1dfa3ad26)) (segment (start 100.711 49.149) (end 97.458 49.149) (width 0.25) (layer "B.Cu") (net 2) (tstamp 4ee99b74-4c11-477d-b5c5-ad6487c74785)) - (segment (start 107.818044 51.054) (end 103.816002 51.054) (width 0.25) (layer "F.Cu") (net 2) (tstamp 4882dbfd-7e1c-4fb0-8a98-678ea878a6dc)) + (segment (start 107.818044 51.054) (end 103.816002 51.054) (width 0.2) (layer "F.Cu") (net 2) (tstamp 4882dbfd-7e1c-4fb0-8a98-678ea878a6dc)) (segment (start 103.181002 50.419) (end 101.981 50.419) (width 0.25) (layer "F.Cu") (net 2) (tstamp 61ef18d4-5a1c-462d-a9bc-918e00a77de2)) (segment (start 101.981 50.419) (end 100.711 49.149) (width 0.25) (layer "F.Cu") (net 2) (tstamp 50f06ddc-d7b2-4fb7-9e21-27e8c8c60447)) (segment (start 103.816002 51.054) (end 103.181002 50.419) (width 0.25) (layer "F.Cu") (net 2) (tstamp 2495e8cd-2fe0-4cfd-8708-041809d76522)) @@ -983,5 +993,471 @@ (segment (start 108.331 57.966) (end 109.547 59.182) (width 0.25) (layer "F.Cu") (net 2) (tstamp d93c321b-4f7f-4850-8fd7-3e2d6be22ca4)) (segment (start 108.331 57.023) (end 108.331 57.966) (width 0.25) (layer "F.Cu") (net 2) (tstamp d7fbd04d-8de8-4aa3-901d-d04e97031dde)) (segment (start 112.649 54.737) (end 112.649 59.182) (width 0.25) (layer "B.Cu") (net 3) (tstamp 787ff446-b594-45b6-a36f-5753ed60c8bd)) + (segment (start 108.331 51.4604) (end 107.9246 51.054) (width 0.25) (layer "F.Cu") (net 2) (tstamp f6474119-a840-466f-904c-88f70b37d16f)) + (segment (start 107.9246 51.054) (end 107.818044 51.054) (width 0.25) (layer "F.Cu") (net 2) (tstamp be748ca2-d9f9-4a9f-a96f-41da382976bc)) + (segment (start 108.331 51.566956) (end 108.331 51.4604) (width 0.25) (layer "F.Cu") (net 2) (tstamp 0b08643c-4585-43cc-a0e1-137d7a73ad8c)) + (segment (start 99.187 42.419) (end 99.187 49.715) (width 0.25) (layer "F.Cu") (net 24) (tstamp e31f9485-756f-420d-894e-e51feac6ad5d)) + (zone (net 3) (net_name "GND") (layer "F.Cu") (tstamp 42700633-e1b9-4a9f-87e1-baa80d016bbd) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.6968 30.48) (xy 114.046 30.607) (xy 113.919 68.8594) (xy 88.7222 68.9356) (xy 88.5952 30.4292) + ) + ) + (filled_polygon + (pts + (xy 89.313163 46.066377) (xy 89.313163 47.340258) (xy 89.315319 47.356634) (xy 89.390137 47.635859) (xy 89.40288 47.660339) + (xy 89.53399 47.81659) (xy 89.550879 47.830761) (xy 89.72512 47.931359) (xy 89.745837 47.9389) (xy 89.782029 47.945282) + (xy 89.657029 48.073822) (xy 89.650263 48.082074) (xy 89.513683 48.280798) (xy 89.508403 48.290073) (xy 89.40726 48.508968) + (xy 89.403619 48.519) (xy 89.329085 48.795233) (xy 89.404734 48.894) (xy 92.197863 48.894) (xy 92.273373 48.804487) + (xy 92.247499 48.653114) (xy 92.244817 48.642784) (xy 92.164723 48.415343) (xy 92.160339 48.405612) (xy 92.043067 48.194916) + (xy 92.037107 48.186064) (xy 91.886013 47.99814) (xy 91.878647 47.990417) (xy 91.81613 47.935107) (xy 91.958859 47.896863) + (xy 91.983339 47.88412) (xy 92.13959 47.75301) (xy 92.153761 47.736121) (xy 92.254359 47.56188) (xy 92.2619 47.541163) + (xy 92.295878 47.348462) (xy 92.296837 47.337501) (xy 92.296837 47.243) (xy 93.092 47.243) (xy 93.092001 49.089102) + (xy 93.084085 49.139084) (xy 93.084085 49.158916) (xy 93.118653 49.377177) (xy 93.124782 49.396039) (xy 93.225106 49.592935) + (xy 93.236764 49.60898) (xy 93.39302 49.765236) (xy 93.409065 49.776894) (xy 93.605961 49.877218) (xy 93.624823 49.883347) + (xy 93.706 49.896204) (xy 93.706 50.002062) (xy 93.708156 50.018438) (xy 93.774446 50.265835) (xy 93.780767 50.281095) + (xy 93.908829 50.502905) (xy 93.918884 50.516009) (xy 93.981 50.578124) (xy 93.981001 51.629102) (xy 93.973085 51.679084) + (xy 93.973085 51.698916) (xy 94.007653 51.917177) (xy 94.013782 51.936039) (xy 94.114106 52.132935) (xy 94.125764 52.14898) + (xy 94.174784 52.198) (xy 92.151238 52.198) (xy 92.152825 52.19465) (xy 92.237272 51.968787) (xy 92.240151 51.958511) + (xy 92.285392 51.721351) (xy 92.286507 51.710128) (xy 92.289077 51.442341) (xy 92.288178 51.431099) (xy 92.247499 51.193114) + (xy 92.244817 51.182784) (xy 92.164723 50.955343) (xy 92.160339 50.945612) (xy 92.043067 50.734916) (xy 92.037107 50.726064) + (xy 91.886013 50.53814) (xy 91.878647 50.530417) (xy 91.698049 50.370638) (xy 91.689486 50.364267) (xy 91.572888 50.291973) + (xy 91.66633 50.236491) (xy 91.675012 50.230286) (xy 91.858645 50.074004) (xy 91.866158 50.066424) (xy 92.020833 49.881435) + (xy 92.026962 49.872698) (xy 92.148256 49.664294) (xy 92.152825 49.65465) (xy 92.237272 49.428787) (xy 92.240151 49.418511) + (xy 92.273943 49.241369) (xy 92.198356 49.15) (xy 89.407272 49.15) (xy 89.331602 49.246853) (xy 89.394221 49.498005) + (xy 89.397669 49.508104) (xy 89.494591 49.7289) (xy 89.499692 49.738275) (xy 89.63243 49.939584) (xy 89.639038 49.947965) + (xy 89.803798 50.124032) (xy 89.811722 50.13118) (xy 90.003792 50.276968) (xy 90.012808 50.282679) (xy 90.029166 50.291194) + (xy 90.028032 50.291882) (xy 89.833199 50.433957) (xy 89.825138 50.440952) (xy 89.657029 50.613822) (xy 89.650263 50.622074) + (xy 89.513683 50.820798) (xy 89.508403 50.830073) (xy 89.40726 51.048968) (xy 89.403618 51.059) (xy 89.340802 51.291807) + (xy 89.338903 51.302309) (xy 89.316211 51.542372) (xy 89.316109 51.553043) (xy 89.334188 51.793497) (xy 89.335885 51.804034) + (xy 89.394221 52.038005) (xy 89.397669 52.048104) (xy 89.494591 52.2689) (xy 89.499692 52.278275) (xy 89.63243 52.479584) + (xy 89.639038 52.487965) (xy 89.803798 52.664032) (xy 89.811722 52.67118) (xy 90.003792 52.816968) (xy 90.012808 52.822679) + (xy 90.029166 52.831194) (xy 90.028032 52.831882) (xy 89.833199 52.973957) (xy 89.825138 52.980952) (xy 89.657029 53.153822) + (xy 89.650263 53.162074) (xy 89.513683 53.360798) (xy 89.508403 53.370073) (xy 89.40726 53.588968) (xy 89.403618 53.599) + (xy 89.340802 53.831807) (xy 89.338903 53.842309) (xy 89.316211 54.082372) (xy 89.316109 54.093043) (xy 89.334188 54.333497) + (xy 89.335885 54.344034) (xy 89.394221 54.578005) (xy 89.397669 54.588104) (xy 89.494591 54.8089) (xy 89.499692 54.818275) + (xy 89.63243 55.019584) (xy 89.639038 55.027965) (xy 89.803798 55.204032) (xy 89.811722 55.21118) (xy 90.003792 55.356968) + (xy 90.012808 55.362679) (xy 90.029166 55.371194) (xy 90.028032 55.371882) (xy 89.833199 55.513957) (xy 89.825138 55.520952) + (xy 89.657029 55.693822) (xy 89.650263 55.702074) (xy 89.513683 55.900798) (xy 89.508403 55.910073) (xy 89.40726 56.128968) + (xy 89.403618 56.139) (xy 89.340802 56.371807) (xy 89.338903 56.382309) (xy 89.316211 56.622372) (xy 89.316109 56.633043) + (xy 89.334188 56.873497) (xy 89.335885 56.884034) (xy 89.394221 57.118005) (xy 89.397669 57.128104) (xy 89.494591 57.3489) + (xy 89.499692 57.358275) (xy 89.63243 57.559584) (xy 89.639038 57.567965) (xy 89.803798 57.744032) (xy 89.811722 57.75118) + (xy 90.003792 57.896968) (xy 90.012808 57.902679) (xy 90.029166 57.911194) (xy 90.028032 57.911882) (xy 89.833199 58.053957) + (xy 89.825138 58.060952) (xy 89.657029 58.233822) (xy 89.650263 58.242074) (xy 89.513683 58.440798) (xy 89.508403 58.450073) + (xy 89.40726 58.668968) (xy 89.403618 58.679) (xy 89.340802 58.911807) (xy 89.338903 58.922309) (xy 89.316211 59.162372) + (xy 89.316109 59.173043) (xy 89.334188 59.413497) (xy 89.335885 59.424034) (xy 89.394221 59.658005) (xy 89.397669 59.668104) + (xy 89.494591 59.8889) (xy 89.499692 59.898275) (xy 89.63243 60.099584) (xy 89.639038 60.107965) (xy 89.803798 60.284032) + (xy 89.811722 60.29118) (xy 90.003792 60.436968) (xy 90.012808 60.442679) (xy 90.029166 60.451194) (xy 90.028032 60.451882) + (xy 89.833199 60.593957) (xy 89.825138 60.600952) (xy 89.657029 60.773822) (xy 89.650263 60.782074) (xy 89.513683 60.980798) + (xy 89.508403 60.990073) (xy 89.40726 61.208968) (xy 89.403618 61.219) (xy 89.340802 61.451807) (xy 89.338903 61.462309) + (xy 89.316211 61.702372) (xy 89.316109 61.713043) (xy 89.334188 61.953497) (xy 89.335885 61.964034) (xy 89.394221 62.198005) + (xy 89.397669 62.208104) (xy 89.494591 62.4289) (xy 89.499692 62.438275) (xy 89.63243 62.639584) (xy 89.639038 62.647965) + (xy 89.803798 62.824032) (xy 89.811722 62.83118) (xy 90.003792 62.976968) (xy 90.012808 62.982679) (xy 90.029166 62.991194) + (xy 90.028032 62.991882) (xy 89.833199 63.133957) (xy 89.825138 63.140952) (xy 89.657029 63.313822) (xy 89.650263 63.322074) + (xy 89.513683 63.520798) (xy 89.508403 63.530073) (xy 89.40726 63.748968) (xy 89.403618 63.759) (xy 89.340802 63.991807) + (xy 89.338903 64.002309) (xy 89.316211 64.242372) (xy 89.316109 64.253043) (xy 89.334188 64.493497) (xy 89.335885 64.504034) + (xy 89.394221 64.738005) (xy 89.397669 64.748104) (xy 89.494591 64.9689) (xy 89.499692 64.978275) (xy 89.63243 65.179584) + (xy 89.639038 65.187965) (xy 89.803798 65.364032) (xy 89.811722 65.37118) (xy 90.003792 65.516968) (xy 90.012808 65.522679) + (xy 90.029166 65.531194) (xy 90.028032 65.531882) (xy 89.833199 65.673957) (xy 89.825138 65.680952) (xy 89.657029 65.853822) + (xy 89.650263 65.862074) (xy 89.513683 66.060798) (xy 89.508403 66.070073) (xy 89.40726 66.288968) (xy 89.403618 66.299) + (xy 89.340802 66.531807) (xy 89.338903 66.542309) (xy 89.316211 66.782372) (xy 89.316109 66.793043) (xy 89.334188 67.033497) + (xy 89.335885 67.044034) (xy 89.394221 67.278005) (xy 89.397669 67.288104) (xy 89.494591 67.5089) (xy 89.499692 67.518275) + (xy 89.63243 67.719584) (xy 89.639038 67.727965) (xy 89.803798 67.904032) (xy 89.811722 67.91118) (xy 90.003792 68.056968) + (xy 90.012808 68.062679) (xy 90.226696 68.174021) (xy 90.236545 68.178131) (xy 90.304659 68.2) (xy 89.28 68.2) + (xy 89.28 46.033214) + ) + ) + (filled_polygon + (pts + (xy 113.412001 53.789158) (xy 113.368823 53.769439) (xy 113.35153 53.764361) (xy 113.122406 53.731418) (xy 113.113429 53.730776) + (xy 112.850809 53.730776) (xy 112.777 53.804585) (xy 112.776999 55.043192) (xy 112.777 55.043193) (xy 112.776999 56.685415) + (xy 112.850808 56.759224) (xy 113.115683 56.759224) (xy 113.129113 56.75778) (xy 113.412001 56.696242) (xy 113.412001 57.784156) + (xy 113.241823 57.706439) (xy 113.22453 57.701361) (xy 112.995406 57.668418) (xy 112.986429 57.667776) (xy 112.723809 57.667776) + (xy 112.65 57.741585) (xy 112.65 60.622415) (xy 112.723809 60.696224) (xy 112.988683 60.696224) (xy 113.002113 60.69478) + (xy 113.337853 60.621745) (xy 113.358687 60.613115) (xy 113.412001 60.578852) (xy 113.412001 65.618753) (xy 113.28501 65.46741) + (xy 113.268121 65.453239) (xy 113.09388 65.352641) (xy 113.073163 65.3451) (xy 112.880462 65.311122) (xy 112.869501 65.310163) + (xy 111.725378 65.310163) (xy 109.455412 63.040198) (xy 109.455407 63.040191) (xy 109.29898 62.883764) (xy 109.282934 62.872106) + (xy 109.237847 62.849133) (xy 109.196916 62.819394) (xy 109.179244 62.810389) (xy 109.131126 62.794755) (xy 109.086039 62.771782) + (xy 109.067176 62.765653) (xy 109.017193 62.757737) (xy 108.969078 62.742103) (xy 108.949489 62.739001) (xy 108.894191 62.739001) + (xy 108.894179 62.739) (xy 106.360216 62.739) (xy 106.16899 62.547775) (xy 106.16899 61.518738) (xy 107.637201 61.518738) + (xy 107.648939 61.517637) (xy 107.908284 61.468567) (xy 107.928212 61.461172) (xy 108.117373 61.35196) (xy 108.132138 61.340186) + (xy 108.132311 61.34) (xy 111.065109 61.34) (xy 111.115084 61.347915) (xy 111.134916 61.347915) (xy 111.194684 61.338449) + (xy 111.353177 61.313346) (xy 111.372039 61.307218) (xy 111.568935 61.206894) (xy 111.58498 61.195236) (xy 111.741236 61.03898) + (xy 111.752894 61.022935) (xy 111.853218 60.826039) (xy 111.859347 60.807177) (xy 111.880841 60.671463) (xy 112.048594 60.695582) + (xy 112.057571 60.696224) (xy 112.320191 60.696224) (xy 112.394 60.622415) (xy 112.394 57.741585) (xy 112.320191 57.667776) + (xy 112.055317 57.667776) (xy 112.041887 57.66922) (xy 111.886 57.703131) (xy 111.886 56.700843) (xy 111.929177 56.720561) + (xy 111.94647 56.725639) (xy 112.175594 56.758582) (xy 112.184571 56.759224) (xy 112.447191 56.759224) (xy 112.521 56.685415) + (xy 112.521001 55.446808) (xy 112.521 55.446807) (xy 112.521001 53.804585) (xy 112.447192 53.730776) (xy 112.182317 53.730776) + (xy 112.168887 53.73222) (xy 111.886 53.793758) (xy 111.886 53.110715) (xy 112.174492 52.822224) (xy 113.079183 52.822224) + (xy 113.092613 52.82078) (xy 113.412001 52.751302) + ) + ) + (filled_polygon + (pts + (xy 110.949992 45.020427) (xy 110.958615 45.029234) (xy 111.119488 45.164221) (xy 111.129657 45.171184) (xy 111.233077 45.22804) + (xy 111.142888 45.275994) (xy 111.132622 45.282815) (xy 110.969881 45.415544) (xy 110.961135 45.424228) (xy 110.827274 45.586039) + (xy 110.820382 45.596257) (xy 110.720499 45.780986) (xy 110.715723 45.792348) (xy 110.652206 45.997542) (xy 110.727745 46.1) + (xy 112.066192 46.100001) (xy 112.066193 46.1) (xy 112.396 46.100001) (xy 112.395999 47.288191) (xy 112.469808 47.362) + (xy 112.820819 47.362) (xy 112.826971 47.361699) (xy 112.983165 47.346384) (xy 112.995255 47.34399) (xy 113.196295 47.283292) + (xy 113.207689 47.278596) (xy 113.393112 47.180006) (xy 113.403378 47.173185) (xy 113.412 47.166153) (xy 113.412001 49.868827) + (xy 113.332323 49.832439) (xy 113.31503 49.827361) (xy 113.085906 49.794418) (xy 113.076929 49.793776) (xy 112.145817 49.793776) + (xy 112.132387 49.79522) (xy 111.796647 49.868255) (xy 111.775813 49.876885) (xy 111.57538 50.005696) (xy 111.561759 50.017499) + (xy 111.407217 50.195849) (xy 111.397473 50.211011) (xy 111.299439 50.425677) (xy 111.294361 50.44297) (xy 111.261418 50.672094) + (xy 111.260776 50.681071) (xy 111.260776 51.58351) (xy 110.989224 51.855062) (xy 110.989224 50.678817) (xy 110.98778 50.665387) + (xy 110.914745 50.329647) (xy 110.906115 50.308813) (xy 110.777304 50.10838) (xy 110.765501 50.094759) (xy 110.587151 49.940217) + (xy 110.571989 49.930473) (xy 110.357323 49.832439) (xy 110.34003 49.827361) (xy 110.110906 49.794418) (xy 110.101929 49.793776) + (xy 109.170817 49.793776) (xy 109.157387 49.79522) (xy 108.821647 49.868255) (xy 108.800813 49.876885) (xy 108.60038 50.005696) + (xy 108.586759 50.017499) (xy 108.432217 50.195849) (xy 108.422473 50.211011) (xy 108.416256 50.224624) (xy 108.372567 49.993716) + (xy 108.365172 49.973788) (xy 108.25596 49.784627) (xy 108.252576 49.780384) (xy 108.279899 49.750937) (xy 108.290537 49.735334) + (xy 108.384794 49.539608) (xy 108.39036 49.521562) (xy 108.414014 49.364623) (xy 108.338608 49.277) (xy 106.595317 49.277) + (xy 106.587039 49.272782) (xy 106.568176 49.266653) (xy 106.518193 49.258737) (xy 106.470078 49.243103) (xy 106.450489 49.240001) + (xy 106.395191 49.240001) (xy 106.395179 49.24) (xy 103.820215 49.24) (xy 103.689 49.108785) (xy 103.689 49.020938) + (xy 103.686844 49.004562) (xy 103.66777 48.933377) (xy 105.101986 48.933377) (xy 105.177392 49.021) (xy 106.556191 49.021) + (xy 106.63 48.947191) (xy 106.63 48.283071) (xy 106.886 48.283071) (xy 106.886 48.947191) (xy 106.959809 49.021) + (xy 108.335974 49.021) (xy 108.411556 48.929776) (xy 108.372566 48.723716) (xy 108.365172 48.703788) (xy 108.25596 48.514627) + (xy 108.244186 48.499862) (xy 108.084937 48.352101) (xy 108.069334 48.341463) (xy 107.873608 48.247206) (xy 107.855562 48.24164) + (xy 107.645423 48.209967) (xy 107.636021 48.209262) (xy 106.959809 48.209262) (xy 106.886 48.283071) (xy 106.63 48.283071) + (xy 106.556191 48.209262) (xy 105.878799 48.209262) (xy 105.867061 48.210363) (xy 105.607716 48.259433) (xy 105.587788 48.266828) + (xy 105.398627 48.37604) (xy 105.383862 48.387814) (xy 105.236101 48.547063) (xy 105.225463 48.562666) (xy 105.131206 48.758392) + (xy 105.12564 48.776438) (xy 105.101986 48.933377) (xy 103.66777 48.933377) (xy 103.620554 48.757165) (xy 103.614233 48.741905) + (xy 103.486171 48.520095) (xy 103.476116 48.506991) (xy 103.295009 48.325884) (xy 103.281905 48.315829) (xy 103.25 48.297409) + (xy 103.25 46.457045) (xy 110.653273 46.457045) (xy 110.709743 46.648917) (xy 110.71436 46.660344) (xy 110.811654 46.84645) + (xy 110.818403 46.856763) (xy 110.949992 47.020428) (xy 110.958615 47.029234) (xy 111.119488 47.164221) (xy 111.129657 47.171184) + (xy 111.313684 47.272354) (xy 111.325012 47.27721) (xy 111.525397 47.340775) (xy 111.537892 47.343386) (xy 111.71171 47.361655) + (xy 111.7183 47.362) (xy 112.066191 47.362) (xy 112.14 47.288191) (xy 112.140001 46.429809) (xy 112.066192 46.356) + (xy 110.728865 46.355999) (xy 110.653273 46.457045) (xy 103.25 46.457045) (xy 103.25 45.232) (xy 103.506062 45.232) + (xy 103.522438 45.229844) (xy 103.769835 45.163554) (xy 103.785095 45.157233) (xy 103.9495 45.062314) (xy 104.113905 45.157233) + (xy 104.129165 45.163554) (xy 104.376562 45.229844) (xy 104.392938 45.232) (xy 104.649062 45.232) (xy 104.665438 45.229844) + (xy 104.912835 45.163554) (xy 104.928095 45.157233) (xy 105.149905 45.029171) (xy 105.163009 45.019116) (xy 105.193125 44.989) + (xy 110.924724 44.989) + ) + ) + (filled_polygon + (pts + (xy 111.339705 31.172708) (xy 111.328311 31.177404) (xy 111.142888 31.275994) (xy 111.132622 31.282815) (xy 110.969881 31.415544) + (xy 110.961135 31.424228) (xy 110.827274 31.586039) (xy 110.820382 31.596257) (xy 110.720499 31.780986) (xy 110.715723 31.792348) + (xy 110.652206 31.997542) (xy 110.727745 32.1) (xy 112.396 32.100001) (xy 112.395999 32.356) (xy 110.728865 32.355999) + (xy 110.653273 32.457045) (xy 110.709743 32.648917) (xy 110.71436 32.660344) (xy 110.811654 32.84645) (xy 110.818403 32.856763) + (xy 110.949992 33.020428) (xy 110.958615 33.029234) (xy 111.119488 33.164221) (xy 111.129657 33.171184) (xy 111.233077 33.22804) + (xy 111.142888 33.275994) (xy 111.132622 33.282815) (xy 110.969881 33.415544) (xy 110.961135 33.424228) (xy 110.827274 33.586039) + (xy 110.820382 33.596257) (xy 110.720499 33.780986) (xy 110.715723 33.792348) (xy 110.653624 33.992961) (xy 110.651145 34.005034) + (xy 110.629194 34.213887) (xy 110.629108 34.226212) (xy 110.648141 34.435351) (xy 110.650451 34.447457) (xy 110.709743 34.648917) + (xy 110.71436 34.660344) (xy 110.811654 34.84645) (xy 110.818403 34.856763) (xy 110.949992 35.020428) (xy 110.958615 35.029234) + (xy 111.119488 35.164221) (xy 111.129657 35.171184) (xy 111.233077 35.22804) (xy 111.142888 35.275994) (xy 111.132622 35.282815) + (xy 110.969881 35.415544) (xy 110.961135 35.424228) (xy 110.827274 35.586039) (xy 110.820382 35.596257) (xy 110.720499 35.780986) + (xy 110.715723 35.792348) (xy 110.653624 35.992961) (xy 110.651145 36.005034) (xy 110.629194 36.213887) (xy 110.629108 36.226212) + (xy 110.648141 36.435351) (xy 110.650451 36.447457) (xy 110.709743 36.648917) (xy 110.71436 36.660344) (xy 110.811654 36.84645) + (xy 110.818403 36.856763) (xy 110.949992 37.020428) (xy 110.958615 37.029234) (xy 111.119488 37.164221) (xy 111.129657 37.171184) + (xy 111.233077 37.22804) (xy 111.142888 37.275994) (xy 111.132622 37.282815) (xy 110.969881 37.415544) (xy 110.961135 37.424229) + (xy 110.925752 37.467) (xy 101.404215 37.467) (xy 102.783216 36.088) (xy 102.871062 36.088) (xy 102.887438 36.085844) + (xy 103.134835 36.019554) (xy 103.150095 36.013233) (xy 103.371905 35.885171) (xy 103.385009 35.875116) (xy 103.566116 35.694009) + (xy 103.576171 35.680905) (xy 103.704233 35.459095) (xy 103.710554 35.443835) (xy 103.776844 35.196438) (xy 103.779 35.180062) + (xy 103.779 34.923938) (xy 103.776844 34.907562) (xy 103.710554 34.660165) (xy 103.704233 34.644905) (xy 103.576171 34.423095) + (xy 103.566116 34.409991) (xy 103.385009 34.228884) (xy 103.371905 34.218829) (xy 103.150095 34.090767) (xy 103.134835 34.084446) + (xy 102.887438 34.018156) (xy 102.871062 34.016) (xy 102.614938 34.016) (xy 102.598562 34.018156) (xy 102.351165 34.084446) + (xy 102.335905 34.090767) (xy 102.114095 34.218829) (xy 102.100991 34.228884) (xy 101.919884 34.409991) (xy 101.909829 34.423095) + (xy 101.781767 34.644905) (xy 101.775446 34.660165) (xy 101.709156 34.907562) (xy 101.707 34.923938) (xy 101.707 35.011784) + (xy 97.422219 39.296567) (xy 97.416512 39.291779) (xy 97.406343 39.284816) (xy 97.302923 39.22796) (xy 97.393112 39.180006) + (xy 97.403378 39.173185) (xy 97.566119 39.040456) (xy 97.574865 39.031772) (xy 97.708726 38.869961) (xy 97.715618 38.859743) + (xy 97.815501 38.675014) (xy 97.820277 38.663652) (xy 97.882376 38.463039) (xy 97.884855 38.450966) (xy 97.906806 38.242113) + (xy 97.906892 38.229788) (xy 97.887859 38.020649) (xy 97.885549 38.008543) (xy 97.826257 37.807083) (xy 97.82164 37.795656) + (xy 97.724346 37.60955) (xy 97.717597 37.599237) (xy 97.586008 37.435572) (xy 97.577385 37.426766) (xy 97.416512 37.291779) + (xy 97.406343 37.284816) (xy 97.302923 37.22796) (xy 97.393112 37.180006) (xy 97.403378 37.173185) (xy 97.566119 37.040456) + (xy 97.574865 37.031772) (xy 97.708726 36.869961) (xy 97.715618 36.859743) (xy 97.815501 36.675014) (xy 97.820277 36.663652) + (xy 97.882376 36.463039) (xy 97.884855 36.450966) (xy 97.906806 36.242113) (xy 97.906892 36.229788) (xy 97.887859 36.020649) + (xy 97.885549 36.008543) (xy 97.826257 35.807083) (xy 97.82164 35.795656) (xy 97.724346 35.60955) (xy 97.717597 35.599237) + (xy 97.586008 35.435572) (xy 97.577385 35.426766) (xy 97.416512 35.291779) (xy 97.406343 35.284816) (xy 97.302923 35.22796) + (xy 97.393112 35.180006) (xy 97.403378 35.173185) (xy 97.566119 35.040456) (xy 97.574865 35.031772) (xy 97.708726 34.869961) + (xy 97.715618 34.859743) (xy 97.815501 34.675014) (xy 97.820277 34.663652) (xy 97.883794 34.458458) (xy 97.808255 34.356) + (xy 96.14 34.355999) (xy 96.140001 34.1) (xy 97.807135 34.100001) (xy 97.882727 33.998955) (xy 97.826257 33.807083) + (xy 97.82164 33.795656) (xy 97.724346 33.60955) (xy 97.717597 33.599237) (xy 97.586008 33.435572) (xy 97.577385 33.426766) + (xy 97.416512 33.291779) (xy 97.406343 33.284816) (xy 97.302923 33.22796) (xy 97.393112 33.180006) (xy 97.403378 33.173185) + (xy 97.566119 33.040456) (xy 97.574865 33.031772) (xy 97.708726 32.869961) (xy 97.715618 32.859743) (xy 97.815501 32.675014) + (xy 97.820277 32.663652) (xy 97.882376 32.463039) (xy 97.884855 32.450966) (xy 97.906806 32.242113) (xy 97.906892 32.229788) + (xy 97.887859 32.020649) (xy 97.885549 32.008543) (xy 97.826257 31.807083) (xy 97.82164 31.795656) (xy 97.724346 31.60955) + (xy 97.717597 31.599237) (xy 97.586008 31.435572) (xy 97.577385 31.426766) (xy 97.416512 31.291779) (xy 97.406343 31.284816) + (xy 97.222316 31.183646) (xy 97.210988 31.17879) (xy 97.010603 31.115225) (xy 97.004741 31.114) (xy 111.534154 31.114) + ) + ) + ) + (zone (net 3) (net_name "GND") (layer "B.Cu") (tstamp 5f0f85a0-5cb6-48a8-a628-035d315a3db2) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.0206 30.5054) (xy 113.9952 68.834) (xy 88.7222 68.7832) (xy 88.646 30.48) + ) + ) + (filled_polygon + (pts + (xy 100.343641 31.14512) (xy 100.3361 31.165837) (xy 100.302122 31.358538) (xy 100.301163 31.369499) (xy 100.301163 38.483258) + (xy 100.303319 38.499634) (xy 100.378137 38.778859) (xy 100.39088 38.803339) (xy 100.52199 38.95959) (xy 100.538879 38.973761) + (xy 100.71312 39.074359) (xy 100.733837 39.0819) (xy 100.926538 39.115878) (xy 100.937499 39.116837) (xy 104.551258 39.116837) + (xy 104.567634 39.114681) (xy 104.846859 39.039863) (xy 104.871339 39.02712) (xy 105.02759 38.89601) (xy 105.041761 38.879121) + (xy 105.142359 38.70488) (xy 105.1499 38.684163) (xy 105.183878 38.491462) (xy 105.184837 38.480501) (xy 105.184837 31.366742) + (xy 105.182681 31.350366) (xy 105.119347 31.114) (xy 113.412 31.114) (xy 113.412001 65.618753) (xy 113.28501 65.46741) + (xy 113.268121 65.453239) (xy 113.09388 65.352641) (xy 113.073163 65.3451) (xy 112.880462 65.311122) (xy 112.869501 65.310163) + (xy 111.155742 65.310163) (xy 111.139366 65.312319) (xy 110.860142 65.387137) (xy 110.835662 65.39988) (xy 110.67941 65.53099) + (xy 110.665239 65.547879) (xy 110.564641 65.72212) (xy 110.5571 65.742837) (xy 110.551521 65.774479) (xy 110.547647 65.770417) + (xy 110.367049 65.610638) (xy 110.358486 65.604267) (xy 110.153549 65.477202) (xy 110.144036 65.472365) (xy 109.920619 65.381645) + (xy 109.910427 65.37848) (xy 109.674919 65.3267) (xy 109.66434 65.325298) (xy 109.423475 65.313939) (xy 109.412809 65.314339) + (xy 109.173474 65.343727) (xy 109.16303 65.345918) (xy 108.932068 65.41521) (xy 108.922142 65.41913) (xy 108.706155 65.526346) + (xy 108.697032 65.531882) (xy 108.502199 65.673957) (xy 108.494138 65.680952) (xy 108.326029 65.853822) (xy 108.319263 65.862074) + (xy 108.203229 66.030903) (xy 108.172067 65.974916) (xy 108.166107 65.966064) (xy 108.015013 65.77814) (xy 108.007647 65.770417) + (xy 107.827049 65.610638) (xy 107.818486 65.604267) (xy 107.613549 65.477202) (xy 107.604036 65.472365) (xy 107.380619 65.381645) + (xy 107.370427 65.37848) (xy 107.134919 65.3267) (xy 107.12434 65.325298) (xy 106.883475 65.313939) (xy 106.872809 65.314339) + (xy 106.633474 65.343727) (xy 106.62303 65.345918) (xy 106.392068 65.41521) (xy 106.382142 65.41913) (xy 106.166155 65.526346) + (xy 106.157032 65.531882) (xy 105.962199 65.673957) (xy 105.954138 65.680952) (xy 105.786029 65.853822) (xy 105.779263 65.862074) + (xy 105.663229 66.030903) (xy 105.632067 65.974916) (xy 105.626107 65.966064) (xy 105.475013 65.77814) (xy 105.467647 65.770417) + (xy 105.287049 65.610638) (xy 105.278486 65.604267) (xy 105.073549 65.477202) (xy 105.064036 65.472365) (xy 104.840619 65.381645) + (xy 104.830427 65.37848) (xy 104.594919 65.3267) (xy 104.58434 65.325298) (xy 104.343475 65.313939) (xy 104.332809 65.314339) + (xy 104.093474 65.343727) (xy 104.08303 65.345918) (xy 103.852068 65.41521) (xy 103.842142 65.41913) (xy 103.626155 65.526346) + (xy 103.617032 65.531882) (xy 103.422199 65.673957) (xy 103.414138 65.680952) (xy 103.246029 65.853822) (xy 103.239263 65.862074) + (xy 103.102683 66.060798) (xy 103.097403 66.070073) (xy 102.99626 66.288968) (xy 102.992618 66.299) (xy 102.929802 66.531807) + (xy 102.927903 66.542309) (xy 102.905211 66.782372) (xy 102.905109 66.793043) (xy 102.923188 67.033497) (xy 102.924885 67.044034) + (xy 102.983221 67.278005) (xy 102.986669 67.288104) (xy 103.083591 67.5089) (xy 103.088692 67.518275) (xy 103.22143 67.719584) + (xy 103.228038 67.727965) (xy 103.392798 67.904032) (xy 103.400722 67.91118) (xy 103.592792 68.056968) (xy 103.601808 68.062679) + (xy 103.815696 68.174021) (xy 103.825545 68.178131) (xy 103.893659 68.2) (xy 101.083161 68.2) (xy 101.228387 68.144253) + (xy 101.237991 68.1396) (xy 101.44533 68.016491) (xy 101.454012 68.010286) (xy 101.637645 67.854004) (xy 101.645158 67.846424) + (xy 101.799833 67.661435) (xy 101.805962 67.652698) (xy 101.927256 67.444294) (xy 101.931825 67.43465) (xy 102.016272 67.208787) + (xy 102.019151 67.198511) (xy 102.064392 66.961351) (xy 102.065507 66.950128) (xy 102.068077 66.682341) (xy 102.067178 66.671099) + (xy 102.026499 66.433114) (xy 102.023817 66.422784) (xy 101.943723 66.195343) (xy 101.939339 66.185612) (xy 101.822067 65.974916) + (xy 101.816107 65.966064) (xy 101.665013 65.77814) (xy 101.657647 65.770417) (xy 101.477049 65.610638) (xy 101.468486 65.604267) + (xy 101.351888 65.531973) (xy 101.44533 65.476491) (xy 101.454012 65.470286) (xy 101.637645 65.314004) (xy 101.645158 65.306424) + (xy 101.799833 65.121435) (xy 101.805962 65.112698) (xy 101.927256 64.904294) (xy 101.931825 64.89465) (xy 102.016272 64.668787) + (xy 102.019151 64.658511) (xy 102.052943 64.481369) (xy 101.977356 64.39) (xy 100.456 64.389999) (xy 100.456001 64.134) + (xy 101.976863 64.134001) (xy 102.052373 64.044488) (xy 102.046279 64.008837) (xy 102.541191 64.008837) (xy 102.615 63.935028) + (xy 102.870999 63.935028) (xy 102.944808 64.008837) (xy 104.551258 64.008837) (xy 104.567634 64.006681) (xy 104.846859 63.931863) + (xy 104.871339 63.91912) (xy 105.02759 63.78801) (xy 105.041761 63.771121) (xy 105.142359 63.59688) (xy 105.1499 63.576163) + (xy 105.183878 63.383462) (xy 105.184837 63.372501) (xy 105.184837 60.018809) (xy 105.111028 59.945) (xy 102.944809 59.944999) + (xy 102.871 60.018808) (xy 102.870999 63.935028) (xy 102.615 63.935028) (xy 102.615001 60.018809) (xy 102.541192 59.945) + (xy 100.374972 59.944999) (xy 100.301163 60.018808) (xy 100.301163 62.801555) (xy 100.283474 62.803727) (xy 100.273029 62.805918) + (xy 100.042068 62.87521) (xy 100.032142 62.87913) (xy 99.816155 62.986346) (xy 99.807032 62.991882) (xy 99.612199 63.133957) + (xy 99.604138 63.140952) (xy 99.436029 63.313822) (xy 99.429263 63.322074) (xy 99.313229 63.490903) (xy 99.282067 63.434916) + (xy 99.276107 63.426064) (xy 99.125013 63.23814) (xy 99.117647 63.230417) (xy 98.937049 63.070638) (xy 98.928486 63.064267) + (xy 98.723549 62.937202) (xy 98.714036 62.932365) (xy 98.490619 62.841645) (xy 98.480427 62.83848) (xy 98.244919 62.7867) + (xy 98.23434 62.785298) (xy 97.993475 62.773939) (xy 97.982809 62.774339) (xy 97.743474 62.803727) (xy 97.73303 62.805918) + (xy 97.502068 62.87521) (xy 97.492142 62.87913) (xy 97.276155 62.986346) (xy 97.267032 62.991882) (xy 97.072199 63.133957) + (xy 97.064138 63.140952) (xy 96.896029 63.313822) (xy 96.889263 63.322074) (xy 96.773229 63.490903) (xy 96.742067 63.434916) + (xy 96.736107 63.426064) (xy 96.585013 63.23814) (xy 96.577647 63.230417) (xy 96.397049 63.070638) (xy 96.392 63.066881) + (xy 96.392 56.261499) (xy 100.301163 56.261499) (xy 100.301163 59.615191) (xy 100.374972 59.689) (xy 102.541191 59.689001) + (xy 102.615 59.615192) (xy 102.615 59.615191) (xy 102.870999 59.615191) (xy 102.944808 59.689) (xy 105.111028 59.689001) + (xy 105.184837 59.615192) (xy 105.184837 56.258742) (xy 105.182681 56.242366) (xy 105.107863 55.963142) (xy 105.09512 55.938662) + (xy 104.96401 55.78241) (xy 104.947121 55.768239) (xy 104.77288 55.667641) (xy 104.752163 55.6601) (xy 104.559462 55.626122) + (xy 104.548501 55.625163) (xy 102.944809 55.625163) (xy 102.871 55.698972) (xy 102.870999 59.615191) (xy 102.615 59.615191) + (xy 102.615001 55.698972) (xy 102.541192 55.625163) (xy 100.934742 55.625163) (xy 100.918366 55.627319) (xy 100.639142 55.702137) + (xy 100.614662 55.71488) (xy 100.45841 55.84599) (xy 100.444239 55.862879) (xy 100.343641 56.03712) (xy 100.3361 56.057837) + (xy 100.302122 56.250538) (xy 100.301163 56.261499) (xy 96.392 56.261499) (xy 96.392 49.853124) (xy 96.454116 49.791009) + (xy 96.464171 49.777905) (xy 96.5445 49.638771) (xy 96.624829 49.777905) (xy 96.634884 49.791009) (xy 96.815991 49.972116) + (xy 96.829095 49.982171) (xy 97.050905 50.110233) (xy 97.066165 50.116554) (xy 97.313562 50.182844) (xy 97.329938 50.185) + (xy 97.586062 50.185) (xy 97.602438 50.182844) (xy 97.849835 50.116554) (xy 97.865095 50.110233) (xy 98.086905 49.982171) + (xy 98.100009 49.972116) (xy 98.162124 49.91) (xy 100.006876 49.91) (xy 100.068991 49.972116) (xy 100.082095 49.982171) + (xy 100.303905 50.110233) (xy 100.319165 50.116554) (xy 100.566562 50.182844) (xy 100.582938 50.185) (xy 100.839062 50.185) + (xy 100.855438 50.182844) (xy 101.102835 50.116554) (xy 101.118095 50.110233) (xy 101.339905 49.982171) (xy 101.353009 49.972116) + (xy 101.474001 49.851124) (xy 101.474 52.979876) (xy 101.411884 53.041991) (xy 101.401829 53.055095) (xy 101.273767 53.276905) + (xy 101.267446 53.292165) (xy 101.201156 53.539562) (xy 101.199 53.555938) (xy 101.199 53.812062) (xy 101.201156 53.828438) + (xy 101.267446 54.075835) (xy 101.273767 54.091095) (xy 101.401829 54.312905) (xy 101.411884 54.326009) (xy 101.592991 54.507116) + (xy 101.606095 54.517171) (xy 101.827905 54.645233) (xy 101.843165 54.651554) (xy 102.090562 54.717844) (xy 102.106938 54.72) + (xy 102.363062 54.72) (xy 102.379438 54.717844) (xy 102.626835 54.651554) (xy 102.642095 54.645233) (xy 102.863905 54.517171) + (xy 102.877009 54.507116) (xy 103.058116 54.326009) (xy 103.068171 54.312905) (xy 103.196233 54.091095) (xy 103.202554 54.075835) + (xy 103.268844 53.828438) (xy 103.271 53.812062) (xy 103.271 53.555938) (xy 103.268844 53.539562) (xy 103.202554 53.292165) + (xy 103.196233 53.276905) (xy 103.068171 53.055095) (xy 103.058116 53.041991) (xy 102.996 52.979876) (xy 102.996 52.659189) + (xy 103.233562 52.722844) (xy 103.249938 52.725) (xy 103.506063 52.725) (xy 103.51134 52.724305) (xy 103.487156 52.814562) + (xy 103.485 52.830938) (xy 103.485 53.087062) (xy 103.487156 53.103438) (xy 103.553446 53.350835) (xy 103.559767 53.366095) + (xy 103.687829 53.587905) (xy 103.697884 53.601009) (xy 103.878991 53.782116) (xy 103.892095 53.792171) (xy 104.113905 53.920233) + (xy 104.129165 53.926554) (xy 104.376562 53.992844) (xy 104.392938 53.995) (xy 104.649062 53.995) (xy 104.665438 53.992844) + (xy 104.912835 53.926554) (xy 104.928095 53.920233) (xy 105.149905 53.792171) (xy 105.163009 53.782116) (xy 105.344116 53.601009) + (xy 105.354171 53.587905) (xy 105.482233 53.366095) (xy 105.488554 53.350835) (xy 105.554844 53.103438) (xy 105.557 53.087062) + (xy 105.557 52.830938) (xy 105.554844 52.814562) (xy 105.488554 52.567165) (xy 105.482233 52.551905) (xy 105.354171 52.330095) + (xy 105.344116 52.316991) (xy 105.282 52.254876) (xy 105.282 44.900124) (xy 105.344116 44.838009) (xy 105.354171 44.824905) + (xy 105.482233 44.603095) (xy 105.488554 44.587835) (xy 105.554844 44.340438) (xy 105.557 44.324062) (xy 105.557 44.067938) + (xy 105.554844 44.051562) (xy 105.488554 43.804165) (xy 105.482233 43.788905) (xy 105.354171 43.567095) (xy 105.344116 43.553991) + (xy 105.163009 43.372884) (xy 105.149905 43.362829) (xy 104.928095 43.234767) (xy 104.912835 43.228446) (xy 104.665438 43.162156) + (xy 104.649062 43.16) (xy 104.392938 43.16) (xy 104.376562 43.162156) (xy 104.129165 43.228446) (xy 104.113905 43.234767) + (xy 103.9495 43.329686) (xy 103.785095 43.234767) (xy 103.769835 43.228446) (xy 103.522438 43.162156) (xy 103.506062 43.16) + (xy 103.249938 43.16) (xy 103.233562 43.162156) (xy 102.986165 43.228446) (xy 102.970905 43.234767) (xy 102.749095 43.362829) + (xy 102.735991 43.372884) (xy 102.554884 43.553991) (xy 102.544829 43.567095) (xy 102.416767 43.788905) (xy 102.410446 43.804165) + (xy 102.344156 44.051562) (xy 102.342 44.067938) (xy 102.342 44.324062) (xy 102.344156 44.340438) (xy 102.410446 44.587835) + (xy 102.416767 44.603095) (xy 102.544829 44.824905) (xy 102.554884 44.838009) (xy 102.617001 44.900125) (xy 102.617 48.113) + (xy 102.524938 48.113) (xy 102.508562 48.115156) (xy 102.261165 48.181446) (xy 102.245905 48.187767) (xy 102.024095 48.315829) + (xy 102.010991 48.325884) (xy 101.829884 48.506991) (xy 101.819829 48.520095) (xy 101.691767 48.741905) (xy 101.685446 48.757165) + (xy 101.682 48.770026) (xy 101.678554 48.757165) (xy 101.672233 48.741905) (xy 101.544171 48.520095) (xy 101.534116 48.506991) + (xy 101.353009 48.325884) (xy 101.339905 48.315829) (xy 101.118095 48.187767) (xy 101.102835 48.181446) (xy 100.855438 48.115156) + (xy 100.839062 48.113) (xy 100.582938 48.113) (xy 100.566562 48.115156) (xy 100.319165 48.181446) (xy 100.303905 48.187767) + (xy 100.082095 48.315829) (xy 100.068991 48.325884) (xy 100.006876 48.388) (xy 98.162124 48.388) (xy 98.100009 48.325884) + (xy 98.086905 48.315829) (xy 97.865095 48.187767) (xy 97.849835 48.181446) (xy 97.602438 48.115156) (xy 97.586062 48.113) + (xy 97.329938 48.113) (xy 97.313562 48.115156) (xy 97.066165 48.181446) (xy 97.050905 48.187767) (xy 96.829095 48.315829) + (xy 96.815991 48.325884) (xy 96.634884 48.506991) (xy 96.624829 48.520095) (xy 96.5445 48.659229) (xy 96.464171 48.520095) + (xy 96.454116 48.506991) (xy 96.273009 48.325884) (xy 96.259905 48.315829) (xy 96.038095 48.187767) (xy 96.022835 48.181446) + (xy 95.778 48.115842) (xy 95.778 48.004938) (xy 95.775844 47.988562) (xy 95.709554 47.741165) (xy 95.703233 47.725905) + (xy 95.575171 47.504095) (xy 95.565116 47.490991) (xy 95.384009 47.309884) (xy 95.370905 47.299829) (xy 95.149095 47.171767) + (xy 95.133835 47.165446) (xy 94.886438 47.099156) (xy 94.870062 47.097) (xy 94.613938 47.097) (xy 94.597562 47.099156) + (xy 94.350165 47.165446) (xy 94.334905 47.171767) (xy 94.113095 47.299829) (xy 94.099991 47.309884) (xy 93.918884 47.490991) + (xy 93.908829 47.504095) (xy 93.780767 47.725905) (xy 93.774446 47.741165) (xy 93.708156 47.988562) (xy 93.706 48.004938) + (xy 93.706 48.261062) (xy 93.708156 48.277438) (xy 93.774446 48.524835) (xy 93.780767 48.540095) (xy 93.908829 48.761905) + (xy 93.918884 48.775009) (xy 93.981 48.837124) (xy 93.981001 49.169875) (xy 93.918884 49.231991) (xy 93.908829 49.245095) + (xy 93.780767 49.466905) (xy 93.774446 49.482165) (xy 93.708156 49.729562) (xy 93.706 49.745938) (xy 93.706 50.002062) + (xy 93.708156 50.018438) (xy 93.774446 50.265835) (xy 93.780767 50.281095) (xy 93.908829 50.502905) (xy 93.918884 50.516009) + (xy 94.099991 50.697116) (xy 94.113095 50.707171) (xy 94.334905 50.835233) (xy 94.350165 50.841554) (xy 94.597562 50.907844) + (xy 94.613938 50.91) (xy 94.87 50.91) (xy 94.870001 62.919904) (xy 94.736155 62.986346) (xy 94.727032 62.991882) + (xy 94.532199 63.133957) (xy 94.524138 63.140952) (xy 94.356029 63.313822) (xy 94.349263 63.322074) (xy 94.212683 63.520798) + (xy 94.207403 63.530073) (xy 94.10626 63.748968) (xy 94.102618 63.759) (xy 94.039802 63.991807) (xy 94.037903 64.002309) + (xy 94.015211 64.242372) (xy 94.015109 64.253043) (xy 94.033188 64.493497) (xy 94.034885 64.504034) (xy 94.093221 64.738005) + (xy 94.096669 64.748104) (xy 94.193591 64.9689) (xy 94.198692 64.978275) (xy 94.33143 65.179584) (xy 94.338038 65.187965) + (xy 94.489481 65.349801) (xy 94.350142 65.387137) (xy 94.325662 65.39988) (xy 94.16941 65.53099) (xy 94.155239 65.547879) + (xy 94.054641 65.72212) (xy 94.0471 65.742837) (xy 94.013122 65.935538) (xy 94.012163 65.946499) (xy 94.012163 67.660258) + (xy 94.014319 67.676634) (xy 94.089137 67.955859) (xy 94.10188 67.980339) (xy 94.23299 68.13659) (xy 94.249879 68.150761) + (xy 94.335164 68.2) (xy 91.304161 68.2) (xy 91.449387 68.144253) (xy 91.458991 68.1396) (xy 91.66633 68.016491) + (xy 91.675012 68.010286) (xy 91.858645 67.854004) (xy 91.866158 67.846424) (xy 92.020833 67.661435) (xy 92.026962 67.652698) + (xy 92.148256 67.444294) (xy 92.152825 67.43465) (xy 92.237272 67.208787) (xy 92.240151 67.198511) (xy 92.285392 66.961351) + (xy 92.286507 66.950128) (xy 92.289077 66.682341) (xy 92.288178 66.671099) (xy 92.247499 66.433114) (xy 92.244817 66.422784) + (xy 92.164723 66.195343) (xy 92.160339 66.185612) (xy 92.043067 65.974916) (xy 92.037107 65.966064) (xy 91.886013 65.77814) + (xy 91.878647 65.770417) (xy 91.698049 65.610638) (xy 91.689486 65.604267) (xy 91.572888 65.531973) (xy 91.66633 65.476491) + (xy 91.675012 65.470286) (xy 91.858645 65.314004) (xy 91.866158 65.306424) (xy 92.020833 65.121435) (xy 92.026962 65.112698) + (xy 92.148256 64.904294) (xy 92.152825 64.89465) (xy 92.237272 64.668787) (xy 92.240151 64.658511) (xy 92.285392 64.421351) + (xy 92.286507 64.410128) (xy 92.289077 64.142341) (xy 92.288178 64.131099) (xy 92.247499 63.893114) (xy 92.244817 63.882784) + (xy 92.164723 63.655343) (xy 92.160339 63.645612) (xy 92.043067 63.434916) (xy 92.037107 63.426064) (xy 91.886013 63.23814) + (xy 91.878647 63.230417) (xy 91.698049 63.070638) (xy 91.689486 63.064267) (xy 91.572888 62.991973) (xy 91.66633 62.936491) + (xy 91.675012 62.930286) (xy 91.858645 62.774004) (xy 91.866158 62.766424) (xy 92.020833 62.581435) (xy 92.026962 62.572698) + (xy 92.148256 62.364294) (xy 92.152825 62.35465) (xy 92.237272 62.128787) (xy 92.240151 62.118511) (xy 92.285392 61.881351) + (xy 92.286507 61.870128) (xy 92.289077 61.602341) (xy 92.288178 61.591099) (xy 92.247499 61.353114) (xy 92.244817 61.342784) + (xy 92.164723 61.115343) (xy 92.160339 61.105612) (xy 92.043067 60.894916) (xy 92.037107 60.886064) (xy 91.886013 60.69814) + (xy 91.878647 60.690417) (xy 91.698049 60.530638) (xy 91.689486 60.524267) (xy 91.572888 60.451973) (xy 91.66633 60.396491) + (xy 91.675012 60.390286) (xy 91.858645 60.234004) (xy 91.866158 60.226424) (xy 92.020833 60.041435) (xy 92.026962 60.032698) + (xy 92.148256 59.824294) (xy 92.152825 59.81465) (xy 92.237272 59.588787) (xy 92.240151 59.578511) (xy 92.285392 59.341351) + (xy 92.286507 59.330128) (xy 92.289077 59.062341) (xy 92.288178 59.051099) (xy 92.247499 58.813114) (xy 92.244817 58.802784) + (xy 92.164723 58.575343) (xy 92.160339 58.565612) (xy 92.043067 58.354916) (xy 92.037107 58.346064) (xy 91.886013 58.15814) + (xy 91.878647 58.150417) (xy 91.698049 57.990638) (xy 91.689486 57.984267) (xy 91.572888 57.911973) (xy 91.66633 57.856491) + (xy 91.675012 57.850286) (xy 91.858645 57.694004) (xy 91.866158 57.686424) (xy 92.020833 57.501435) (xy 92.026962 57.492698) + (xy 92.148256 57.284294) (xy 92.152825 57.27465) (xy 92.237272 57.048787) (xy 92.240151 57.038511) (xy 92.285392 56.801351) + (xy 92.286507 56.790128) (xy 92.289077 56.522341) (xy 92.288178 56.511099) (xy 92.247499 56.273114) (xy 92.244817 56.262784) + (xy 92.164723 56.035343) (xy 92.160339 56.025612) (xy 92.043067 55.814916) (xy 92.037107 55.806064) (xy 91.886013 55.61814) + (xy 91.878647 55.610417) (xy 91.698049 55.450638) (xy 91.689486 55.444267) (xy 91.572888 55.371973) (xy 91.66633 55.316491) + (xy 91.675012 55.310286) (xy 91.858645 55.154004) (xy 91.866158 55.146424) (xy 92.020833 54.961435) (xy 92.026962 54.952698) + (xy 92.148256 54.744294) (xy 92.152825 54.73465) (xy 92.237272 54.508787) (xy 92.240151 54.498511) (xy 92.285392 54.261351) + (xy 92.286507 54.250128) (xy 92.289077 53.982341) (xy 92.288178 53.971099) (xy 92.247499 53.733114) (xy 92.244817 53.722784) + (xy 92.164723 53.495343) (xy 92.160339 53.485612) (xy 92.043067 53.274916) (xy 92.037107 53.266064) (xy 91.886013 53.07814) + (xy 91.878647 53.070417) (xy 91.698049 52.910638) (xy 91.689486 52.904267) (xy 91.572888 52.831973) (xy 91.66633 52.776491) + (xy 91.675012 52.770286) (xy 91.858645 52.614004) (xy 91.866158 52.606424) (xy 92.020833 52.421435) (xy 92.026961 52.412698) + (xy 92.079167 52.323) (xy 92.584001 52.323) (xy 92.584 53.397876) (xy 92.521884 53.459991) (xy 92.511829 53.473095) + (xy 92.383767 53.694905) (xy 92.377446 53.710165) (xy 92.311156 53.957562) (xy 92.309 53.973938) (xy 92.309 54.230062) + (xy 92.311156 54.246438) (xy 92.377446 54.493835) (xy 92.383767 54.509095) (xy 92.511829 54.730905) (xy 92.521884 54.744009) + (xy 92.702991 54.925116) (xy 92.716095 54.935171) (xy 92.937905 55.063233) (xy 92.953165 55.069554) (xy 93.200562 55.135844) + (xy 93.216938 55.138) (xy 93.473062 55.138) (xy 93.489438 55.135844) (xy 93.736835 55.069554) (xy 93.752095 55.063233) + (xy 93.973905 54.935171) (xy 93.987009 54.925116) (xy 94.168116 54.744009) (xy 94.178171 54.730905) (xy 94.306233 54.509095) + (xy 94.312554 54.493835) (xy 94.378844 54.246438) (xy 94.381 54.230062) (xy 94.381 53.973938) (xy 94.378844 53.957562) + (xy 94.312554 53.710165) (xy 94.306233 53.694905) (xy 94.178171 53.473095) (xy 94.168116 53.459991) (xy 94.106 53.397876) + (xy 94.106 51.621891) (xy 94.113915 51.571916) (xy 94.113915 51.552084) (xy 94.079347 51.333823) (xy 94.073218 51.314961) + (xy 93.972894 51.118065) (xy 93.961236 51.10202) (xy 93.80498 50.945764) (xy 93.788935 50.934106) (xy 93.592039 50.833782) + (xy 93.573177 50.827653) (xy 93.414684 50.802551) (xy 93.354916 50.793085) (xy 93.335084 50.793085) (xy 93.285109 50.801) + (xy 92.079849 50.801) (xy 92.043067 50.734916) (xy 92.037107 50.726064) (xy 91.886013 50.53814) (xy 91.878647 50.530417) + (xy 91.698049 50.370638) (xy 91.689486 50.364267) (xy 91.572888 50.291973) (xy 91.66633 50.236491) (xy 91.675012 50.230286) + (xy 91.858645 50.074004) (xy 91.866158 50.066424) (xy 92.020833 49.881435) (xy 92.026962 49.872698) (xy 92.148256 49.664294) + (xy 92.152825 49.65465) (xy 92.237272 49.428787) (xy 92.240151 49.418511) (xy 92.273943 49.241369) (xy 92.198356 49.15) + (xy 89.407272 49.15) (xy 89.331602 49.246853) (xy 89.394221 49.498005) (xy 89.397669 49.508104) (xy 89.494591 49.7289) + (xy 89.499692 49.738275) (xy 89.63243 49.939584) (xy 89.639038 49.947965) (xy 89.803798 50.124032) (xy 89.811722 50.13118) + (xy 90.003792 50.276968) (xy 90.012808 50.282679) (xy 90.029166 50.291194) (xy 90.028032 50.291882) (xy 89.833199 50.433957) + (xy 89.825138 50.440952) (xy 89.657029 50.613822) (xy 89.650263 50.622074) (xy 89.513683 50.820798) (xy 89.508403 50.830073) + (xy 89.40726 51.048968) (xy 89.403618 51.059) (xy 89.340802 51.291807) (xy 89.338903 51.302309) (xy 89.316211 51.542372) + (xy 89.316109 51.553043) (xy 89.334188 51.793497) (xy 89.335885 51.804034) (xy 89.394221 52.038005) (xy 89.397669 52.048104) + (xy 89.494591 52.2689) (xy 89.499692 52.278275) (xy 89.63243 52.479584) (xy 89.639038 52.487965) (xy 89.803798 52.664032) + (xy 89.811722 52.67118) (xy 90.003792 52.816968) (xy 90.012808 52.822679) (xy 90.029166 52.831194) (xy 90.028032 52.831882) + (xy 89.833199 52.973957) (xy 89.825138 52.980952) (xy 89.657029 53.153822) (xy 89.650263 53.162074) (xy 89.513683 53.360798) + (xy 89.508403 53.370073) (xy 89.40726 53.588968) (xy 89.403618 53.599) (xy 89.340802 53.831807) (xy 89.338903 53.842309) + (xy 89.316211 54.082372) (xy 89.316109 54.093043) (xy 89.334188 54.333497) (xy 89.335885 54.344034) (xy 89.394221 54.578005) + (xy 89.397669 54.588104) (xy 89.494591 54.8089) (xy 89.499692 54.818275) (xy 89.63243 55.019584) (xy 89.639038 55.027965) + (xy 89.803798 55.204032) (xy 89.811722 55.21118) (xy 90.003792 55.356968) (xy 90.012808 55.362679) (xy 90.029166 55.371194) + (xy 90.028032 55.371882) (xy 89.833199 55.513957) (xy 89.825138 55.520952) (xy 89.657029 55.693822) (xy 89.650263 55.702074) + (xy 89.513683 55.900798) (xy 89.508403 55.910073) (xy 89.40726 56.128968) (xy 89.403618 56.139) (xy 89.340802 56.371807) + (xy 89.338903 56.382309) (xy 89.316211 56.622372) (xy 89.316109 56.633043) (xy 89.334188 56.873497) (xy 89.335885 56.884034) + (xy 89.394221 57.118005) (xy 89.397669 57.128104) (xy 89.494591 57.3489) (xy 89.499692 57.358275) (xy 89.63243 57.559584) + (xy 89.639038 57.567965) (xy 89.803798 57.744032) (xy 89.811722 57.75118) (xy 90.003792 57.896968) (xy 90.012808 57.902679) + (xy 90.029166 57.911194) (xy 90.028032 57.911882) (xy 89.833199 58.053957) (xy 89.825138 58.060952) (xy 89.657029 58.233822) + (xy 89.650263 58.242074) (xy 89.513683 58.440798) (xy 89.508403 58.450073) (xy 89.40726 58.668968) (xy 89.403618 58.679) + (xy 89.340802 58.911807) (xy 89.338903 58.922309) (xy 89.316211 59.162372) (xy 89.316109 59.173043) (xy 89.334188 59.413497) + (xy 89.335885 59.424034) (xy 89.394221 59.658005) (xy 89.397669 59.668104) (xy 89.494591 59.8889) (xy 89.499692 59.898275) + (xy 89.63243 60.099584) (xy 89.639038 60.107965) (xy 89.803798 60.284032) (xy 89.811722 60.29118) (xy 90.003792 60.436968) + (xy 90.012808 60.442679) (xy 90.029166 60.451194) (xy 90.028032 60.451882) (xy 89.833199 60.593957) (xy 89.825138 60.600952) + (xy 89.657029 60.773822) (xy 89.650263 60.782074) (xy 89.513683 60.980798) (xy 89.508403 60.990073) (xy 89.40726 61.208968) + (xy 89.403618 61.219) (xy 89.340802 61.451807) (xy 89.338903 61.462309) (xy 89.316211 61.702372) (xy 89.316109 61.713043) + (xy 89.334188 61.953497) (xy 89.335885 61.964034) (xy 89.394221 62.198005) (xy 89.397669 62.208104) (xy 89.494591 62.4289) + (xy 89.499692 62.438275) (xy 89.63243 62.639584) (xy 89.639038 62.647965) (xy 89.803798 62.824032) (xy 89.811722 62.83118) + (xy 90.003792 62.976968) (xy 90.012808 62.982679) (xy 90.029166 62.991194) (xy 90.028032 62.991882) (xy 89.833199 63.133957) + (xy 89.825138 63.140952) (xy 89.657029 63.313822) (xy 89.650263 63.322074) (xy 89.513683 63.520798) (xy 89.508403 63.530073) + (xy 89.40726 63.748968) (xy 89.403618 63.759) (xy 89.340802 63.991807) (xy 89.338903 64.002309) (xy 89.316211 64.242372) + (xy 89.316109 64.253043) (xy 89.334188 64.493497) (xy 89.335885 64.504034) (xy 89.394221 64.738005) (xy 89.397669 64.748104) + (xy 89.494591 64.9689) (xy 89.499692 64.978275) (xy 89.63243 65.179584) (xy 89.639038 65.187965) (xy 89.803798 65.364032) + (xy 89.811722 65.37118) (xy 90.003792 65.516968) (xy 90.012808 65.522679) (xy 90.029166 65.531194) (xy 90.028032 65.531882) + (xy 89.833199 65.673957) (xy 89.825138 65.680952) (xy 89.657029 65.853822) (xy 89.650263 65.862074) (xy 89.513683 66.060798) + (xy 89.508403 66.070073) (xy 89.40726 66.288968) (xy 89.403618 66.299) (xy 89.340802 66.531807) (xy 89.338903 66.542309) + (xy 89.316211 66.782372) (xy 89.316109 66.793043) (xy 89.334188 67.033497) (xy 89.335885 67.044034) (xy 89.394221 67.278005) + (xy 89.397669 67.288104) (xy 89.494591 67.5089) (xy 89.499692 67.518275) (xy 89.63243 67.719584) (xy 89.639038 67.727965) + (xy 89.803798 67.904032) (xy 89.811722 67.91118) (xy 90.003792 68.056968) (xy 90.012808 68.062679) (xy 90.226696 68.174021) + (xy 90.236545 68.178131) (xy 90.304659 68.2) (xy 89.28 68.2) (xy 89.28 45.626499) (xy 89.313163 45.626499) + (xy 89.313163 47.340258) (xy 89.315319 47.356634) (xy 89.390137 47.635859) (xy 89.40288 47.660339) (xy 89.53399 47.81659) + (xy 89.550879 47.830761) (xy 89.72512 47.931359) (xy 89.745837 47.9389) (xy 89.782029 47.945282) (xy 89.657029 48.073822) + (xy 89.650263 48.082074) (xy 89.513683 48.280798) (xy 89.508403 48.290073) (xy 89.40726 48.508968) (xy 89.403619 48.519) + (xy 89.329085 48.795233) (xy 89.404734 48.894) (xy 92.197863 48.894) (xy 92.273373 48.804487) (xy 92.247499 48.653114) + (xy 92.244817 48.642784) (xy 92.164723 48.415343) (xy 92.160339 48.405612) (xy 92.043067 48.194916) (xy 92.037107 48.186064) + (xy 91.886013 47.99814) (xy 91.878647 47.990417) (xy 91.81613 47.935107) (xy 91.958859 47.896863) (xy 91.983339 47.88412) + (xy 92.13959 47.75301) (xy 92.153761 47.736121) (xy 92.254359 47.56188) (xy 92.2619 47.541163) (xy 92.295878 47.348462) + (xy 92.296837 47.337501) (xy 92.296837 45.623742) (xy 92.294681 45.607366) (xy 92.219863 45.328142) (xy 92.20712 45.303662) + (xy 92.07601 45.14741) (xy 92.059121 45.133239) (xy 91.88488 45.032641) (xy 91.864163 45.0251) (xy 91.671462 44.991122) + (xy 91.660501 44.990163) (xy 89.946742 44.990163) (xy 89.930366 44.992319) (xy 89.651142 45.067137) (xy 89.626662 45.07988) + (xy 89.47041 45.21099) (xy 89.456239 45.227879) (xy 89.355641 45.40212) (xy 89.3481 45.422837) (xy 89.314122 45.615538) + (xy 89.313163 45.626499) (xy 89.28 45.626499) (xy 89.28 43.381808) (xy 89.417776 43.381808) (xy 89.417776 43.646683) + (xy 89.41922 43.660113) (xy 89.492255 43.995853) (xy 89.500885 44.016687) (xy 89.629696 44.21712) (xy 89.641499 44.230741) + (xy 89.819849 44.385283) (xy 89.835011 44.395027) (xy 90.049677 44.493061) (xy 90.06697 44.498139) (xy 90.296094 44.531082) + (xy 90.305071 44.531724) (xy 90.730191 44.531724) (xy 90.804 44.457915) (xy 91.059999 44.457915) (xy 91.133808 44.531724) + (xy 91.561183 44.531724) (xy 91.574613 44.53028) (xy 91.910353 44.457245) (xy 91.931187 44.448615) (xy 92.13162 44.319804) + (xy 92.145241 44.308001) (xy 92.299783 44.129651) (xy 92.309527 44.114489) (xy 92.407561 43.899823) (xy 92.412639 43.88253) + (xy 92.445582 43.653406) (xy 92.446224 43.644429) (xy 92.446224 43.381809) (xy 92.372415 43.308) (xy 91.133809 43.307999) + (xy 91.06 43.381808) (xy 91.059999 44.457915) (xy 90.804 44.457915) (xy 90.804001 43.381809) (xy 90.730192 43.308) + (xy 89.491585 43.307999) (xy 89.417776 43.381808) (xy 89.28 43.381808) (xy 89.28 42.715571) (xy 89.417776 42.715571) + (xy 89.417776 42.978191) (xy 89.491585 43.052) (xy 90.730191 43.052001) (xy 90.804 42.978192) (xy 90.804 42.978191) + (xy 91.059999 42.978191) (xy 91.133808 43.052) (xy 92.372415 43.052001) (xy 92.446224 42.978192) (xy 92.446224 42.713317) + (xy 92.44478 42.699887) (xy 92.371745 42.364147) (xy 92.363115 42.343313) (xy 92.234304 42.14288) (xy 92.222501 42.129259) + (xy 92.044151 41.974717) (xy 92.028989 41.964973) (xy 91.814323 41.866939) (xy 91.79703 41.861861) (xy 91.567906 41.828918) + (xy 91.558929 41.828276) (xy 91.133809 41.828276) (xy 91.06 41.902085) (xy 91.059999 42.978191) (xy 90.804 42.978191) + (xy 90.804001 41.902085) (xy 90.730192 41.828276) (xy 90.302817 41.828276) (xy 90.289387 41.82972) (xy 89.953647 41.902755) + (xy 89.932813 41.911385) (xy 89.73238 42.040196) (xy 89.718759 42.051999) (xy 89.564217 42.230349) (xy 89.554473 42.245511) + (xy 89.456439 42.460177) (xy 89.451361 42.47747) (xy 89.418418 42.706594) (xy 89.417776 42.715571) (xy 89.28 42.715571) + (xy 89.28 39.740571) (xy 89.417776 39.740571) (xy 89.417776 40.671683) (xy 89.41922 40.685113) (xy 89.492255 41.020853) + (xy 89.500885 41.041687) (xy 89.629696 41.24212) (xy 89.641499 41.255741) (xy 89.819849 41.410283) (xy 89.835011 41.420027) + (xy 90.049677 41.518061) (xy 90.06697 41.523139) (xy 90.296094 41.556082) (xy 90.305071 41.556724) (xy 91.561183 41.556724) + (xy 91.574613 41.55528) (xy 91.910353 41.482245) (xy 91.931187 41.473615) (xy 92.13162 41.344804) (xy 92.145241 41.333001) + (xy 92.299783 41.154651) (xy 92.309527 41.139489) (xy 92.407561 40.924823) (xy 92.412639 40.90753) (xy 92.445582 40.678406) + (xy 92.446224 40.669429) (xy 92.446224 39.738317) (xy 92.44478 39.724887) (xy 92.371745 39.389147) (xy 92.363115 39.368313) + (xy 92.234304 39.16788) (xy 92.222501 39.154259) (xy 92.044151 38.999717) (xy 92.028989 38.989973) (xy 91.814323 38.891939) + (xy 91.79703 38.886861) (xy 91.567906 38.853918) (xy 91.558929 38.853276) (xy 90.302817 38.853276) (xy 90.289387 38.85472) + (xy 89.953647 38.927755) (xy 89.932813 38.936385) (xy 89.73238 39.065196) (xy 89.718759 39.076999) (xy 89.564217 39.255349) + (xy 89.554473 39.270511) (xy 89.456439 39.485177) (xy 89.451361 39.50247) (xy 89.418418 39.731594) (xy 89.417776 39.740571) + (xy 89.28 39.740571) (xy 89.28 38.843837) (xy 90.603191 38.843837) (xy 90.677 38.770028) (xy 90.932999 38.770028) + (xy 91.006808 38.843837) (xy 92.913258 38.843837) (xy 92.929634 38.841681) (xy 93.208859 38.766863) (xy 93.233339 38.75412) + (xy 93.38959 38.62301) (xy 93.403761 38.606121) (xy 93.504359 38.43188) (xy 93.5119 38.411163) (xy 93.545878 38.218462) + (xy 93.546837 38.207501) (xy 93.546837 37.728809) (xy 93.473028 37.655) (xy 91.006809 37.654999) (xy 90.933 37.728808) + (xy 90.932999 38.770028) (xy 90.677 38.770028) (xy 90.677001 37.728808) (xy 90.677 37.728807) (xy 90.677 37.325191) + (xy 90.932999 37.325191) (xy 91.006808 37.399) (xy 93.473028 37.399001) (xy 93.546837 37.325192) (xy 93.546837 36.843742) + (xy 93.544681 36.827366) (xy 93.469863 36.548142) (xy 93.45712 36.523662) (xy 93.32601 36.36741) (xy 93.309121 36.353239) + (xy 93.13488 36.252641) (xy 93.114163 36.2451) (xy 92.921462 36.211122) (xy 92.910501 36.210163) (xy 91.006809 36.210163) + (xy 90.933 36.283972) (xy 90.932999 37.325191) (xy 90.677 37.325191) (xy 90.677001 36.283972) (xy 90.603192 36.210163) + (xy 89.28 36.210163) (xy 89.28 35.943837) (xy 90.872658 35.943837) (xy 90.889034 35.941681) (xy 91.168259 35.866863) + (xy 91.192739 35.85412) (xy 91.34899 35.72301) (xy 91.363161 35.706121) (xy 91.463759 35.53188) (xy 91.4713 35.511163) + (xy 91.505278 35.318462) (xy 91.506237 35.307501) (xy 91.506237 34.093742) (xy 91.504081 34.077366) (xy 91.429263 33.798142) + (xy 91.41652 33.773662) (xy 91.28541 33.61741) (xy 91.268521 33.603239) (xy 91.09428 33.502641) (xy 91.073563 33.4951) + (xy 90.880862 33.461122) (xy 90.869901 33.460163) (xy 89.28 33.460163) (xy 89.28 33.193837) (xy 90.603191 33.193837) + (xy 90.677 33.120028) (xy 90.932999 33.120028) (xy 91.006808 33.193837) (xy 92.913258 33.193837) (xy 92.929634 33.191681) + (xy 93.208859 33.116863) (xy 93.233339 33.10412) (xy 93.38959 32.97301) (xy 93.403761 32.956121) (xy 93.504359 32.78188) + (xy 93.5119 32.761163) (xy 93.545878 32.568462) (xy 93.546837 32.557501) (xy 93.546837 32.078809) (xy 93.473028 32.005) + (xy 91.006809 32.004999) (xy 90.933 32.078808) (xy 90.932999 33.120028) (xy 90.677 33.120028) (xy 90.677001 32.078808) + (xy 90.677 32.078807) (xy 90.677001 31.749) (xy 93.473028 31.749001) (xy 93.546837 31.675192) (xy 93.546837 31.193742) + (xy 93.544681 31.177366) (xy 93.527702 31.114) (xy 100.361608 31.114) + ) + ) + ) ) diff --git a/PCB/LORA_ATTINY_v3.net b/PCB/LORA_ATTINY_v3.net deleted file mode 100644 index b4ea7e9..0000000 --- a/PCB/LORA_ATTINY_v3.net +++ /dev/null @@ -1,285 +0,0 @@ -(export (version D) - (design - (source /data/Git/IOT/LORA_ATTINY84/LORA_ATTINY84.sch) - (date "Mi 28 Aug 2019 10:33:34 CEST") - (tool "Eeschema 5.1.4") - (sheet (number 1) (name /) (tstamps /) - (title_block - (title) - (company) - (rev) - (date) - (source LORA_ATTINY84.sch) - (comment (number 1) (value "")) - (comment (number 2) (value "")) - (comment (number 3) (value "")) - (comment (number 4) (value ""))))) - (components - (comp (ref U1) - (value ATtiny84A-SSU) - (footprint Package_SO:SOIC-14_3.9x8.7mm_P1.27mm) - (datasheet http://ww1.microchip.com/downloads/en/DeviceDoc/doc8183.pdf) - (libsource (lib MCU_Microchip_ATtiny) (part ATtiny84A-SSU) (description "20MHz, 8kB Flash, 512B SRAM, 512B EEPROM, debugWIRE, SOIC-14")) - (sheetpath (names /) (tstamps /)) - (tstamp 5D66342E)) - (comp (ref J1) - (value Sensor) - (footprint Connector_PinSocket_2.54mm:PinSocket_1x08_P2.54mm_Vertical) - (datasheet ~) - (libsource (lib Connector) (part Conn_01x08_Male) (description "Generic connector, single row, 01x08, script generated (kicad-library-utils/schlib/autogen/connector/)")) - (sheetpath (names /) (tstamps /)) - (tstamp 5D68DC89)) - (comp (ref AE1) - (value Antenna) - (footprint Connector_PinHeader_2.54mm:PinHeader_1x01_P2.54mm_Horizontal) - (datasheet ~) - (libsource (lib Device) (part Antenna) (description Antenna)) - (sheetpath (names /) (tstamps /)) - (tstamp 5D699DFD)) - (comp (ref C2) - (value 100n) - (footprint Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder) - (datasheet ~) - (libsource (lib Device) (part C) (description "Unpolarized capacitor")) - (sheetpath (names /) (tstamps /)) - (tstamp 5D6B1612)) - (comp (ref C1) - (value 100n) - (footprint Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder) - (datasheet ~) - (libsource (lib Device) (part C) (description "Unpolarized capacitor")) - (sheetpath (names /) (tstamps /)) - (tstamp 5D6B2940)) - (comp (ref J2) - (value PRG) - (footprint Connector_PinSocket_2.54mm:PinSocket_2x03_P2.54mm_Vertical) - (datasheet ~) - (libsource (lib Connector_Generic) (part Conn_02x03_Odd_Even) (description "Generic connector, double row, 02x03, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")) - (sheetpath (names /) (tstamps /)) - (tstamp 5D69C5BF)) - (comp (ref BT1) - (value Battery_Cell) - (footprint Battery:BatteryHolder_Keystone_1060_1x2032) - (datasheet ~) - (libsource (lib Device) (part Battery_Cell) (description "Single-cell battery")) - (sheetpath (names /) (tstamps /)) - (tstamp 5D6F40EE)) - (comp (ref U2) - (value RFM95W-868S2) - (footprint RFM9X:RFM9X-TH) - (datasheet https://www.hoperf.com/data/upload/portal/20181127/5bfcbea20e9ef.pdf) - (libsource (lib RF_Module) (part RFM95W-868S2) (description "Low power long range transceiver module, SPI and parallel interface, 868 MHz, spreading factor 6 to12, bandwith 7.8 to 500kHz, -111 to -148 dBm, SMD-16, DIP-16")) - (sheetpath (names /) (tstamps /)) - (tstamp 5D664943))) - (libparts - (libpart (lib Connector) (part Conn_01x08_Male) - (description "Generic connector, single row, 01x08, script generated (kicad-library-utils/schlib/autogen/connector/)") - (docs ~) - (footprints - (fp Connector*:*_1x??_*)) - (fields - (field (name Reference) J) - (field (name Value) Conn_01x08_Male)) - (pins - (pin (num 1) (name Pin_1) (type passive)) - (pin (num 2) (name Pin_2) (type passive)) - (pin (num 3) (name Pin_3) (type passive)) - (pin (num 4) (name Pin_4) (type passive)) - (pin (num 5) (name Pin_5) (type passive)) - (pin (num 6) (name Pin_6) (type passive)) - (pin (num 7) (name Pin_7) (type passive)) - (pin (num 8) (name Pin_8) (type passive)))) - (libpart (lib Connector_Generic) (part Conn_02x03_Odd_Even) - (description "Generic connector, double row, 02x03, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") - (docs ~) - (footprints - (fp Connector*:*_2x??_*)) - (fields - (field (name Reference) J) - (field (name Value) Conn_02x03_Odd_Even)) - (pins - (pin (num 1) (name Pin_1) (type passive)) - (pin (num 2) (name Pin_2) (type passive)) - (pin (num 3) (name Pin_3) (type passive)) - (pin (num 4) (name Pin_4) (type passive)) - (pin (num 5) (name Pin_5) (type passive)) - (pin (num 6) (name Pin_6) (type passive)))) - (libpart (lib Device) (part Antenna) - (description Antenna) - (docs ~) - (fields - (field (name Reference) AE) - (field (name Value) Antenna)) - (pins - (pin (num 1) (name A) (type input)))) - (libpart (lib Device) (part Battery_Cell) - (description "Single-cell battery") - (docs ~) - (fields - (field (name Reference) BT) - (field (name Value) Battery_Cell)) - (pins - (pin (num 1) (name +) (type passive)) - (pin (num 2) (name -) (type passive)))) - (libpart (lib Device) (part C) - (description "Unpolarized capacitor") - (docs ~) - (footprints - (fp C_*)) - (fields - (field (name Reference) C) - (field (name Value) C)) - (pins - (pin (num 1) (name ~) (type passive)) - (pin (num 2) (name ~) (type passive)))) - (libpart (lib MCU_Microchip_ATtiny) (part ATtiny24V-10SSU) - (aliases - (alias ATtiny24-20SSU) - (alias ATtiny24A-SSU) - (alias ATtiny44V-10SSU) - (alias ATtiny44-20SSU) - (alias ATtiny44A-SSU) - (alias ATtiny84V-10SSU) - (alias ATtiny84-20SSU) - (alias ATtiny84A-SSU)) - (description "10MHz, 2kB Flash, 128B SRAM, 128B EEPROM, debugWIRE, SOIC-14") - (docs http://ww1.microchip.com/downloads/en/DeviceDoc/doc8006.pdf) - (footprints - (fp SOIC*3.9x8.7mm*P1.27mm*)) - (fields - (field (name Reference) U) - (field (name Value) ATtiny24V-10SSU) - (field (name Footprint) Package_SO:SOIC-14_3.9x8.7mm_P1.27mm)) - (pins - (pin (num 1) (name VCC) (type power_in)) - (pin (num 2) (name XTAL1/PB0) (type 3state)) - (pin (num 3) (name XTAL2/PB1) (type 3state)) - (pin (num 4) (name ~RESET~/PB3) (type 3state)) - (pin (num 5) (name PB2) (type 3state)) - (pin (num 6) (name PA7) (type 3state)) - (pin (num 7) (name PA6) (type 3state)) - (pin (num 8) (name PA5) (type 3state)) - (pin (num 9) (name PA4) (type 3state)) - (pin (num 10) (name PA3) (type 3state)) - (pin (num 11) (name PA2) (type 3state)) - (pin (num 12) (name PA1) (type 3state)) - (pin (num 13) (name AREF/PA0) (type 3state)) - (pin (num 14) (name GND) (type power_in)))) - (libpart (lib RF_Module) (part RFM95W-868S2) - (aliases - (alias RFM95W-915S2) - (alias RFM96W-315S2) - (alias RFM96W-433S2) - (alias RFM97W-868S2) - (alias RFM97W-915S2) - (alias RFM98W-315S2) - (alias RFM98W-433S2) - (alias RFM69HCW)) - (description "Low power long range transceiver module, SPI and parallel interface, 868 MHz, spreading factor 6 to12, bandwith 7.8 to 500kHz, -111 to -148 dBm, SMD-16, DIP-16") - (docs https://www.hoperf.com/data/upload/portal/20181127/5bfcbea20e9ef.pdf) - (footprints - (fp HOPERF*RFM9XW*)) - (fields - (field (name Reference) U) - (field (name Value) RFM95W-868S2)) - (pins - (pin (num 1) (name GND) (type power_in)) - (pin (num 2) (name MISO) (type output)) - (pin (num 3) (name MOSI) (type input)) - (pin (num 4) (name SCK) (type input)) - (pin (num 5) (name NSS) (type input)) - (pin (num 6) (name RESET) (type BiDi)) - (pin (num 7) (name DIO5) (type BiDi)) - (pin (num 8) (name GND) (type power_in)) - (pin (num 9) (name ANT) (type BiDi)) - (pin (num 10) (name GND) (type power_in)) - (pin (num 11) (name DIO3) (type BiDi)) - (pin (num 12) (name DIO4) (type BiDi)) - (pin (num 13) (name 3.3V) (type power_in)) - (pin (num 14) (name DIO0) (type BiDi)) - (pin (num 15) (name DIO1) (type BiDi)) - (pin (num 16) (name DIO2) (type BiDi))))) - (libraries - (library (logical Connector) - (uri /usr/share/kicad/library/Connector.lib)) - (library (logical Connector_Generic) - (uri /usr/share/kicad/library/Connector_Generic.lib)) - (library (logical Device) - (uri /usr/share/kicad/library/Device.lib)) - (library (logical MCU_Microchip_ATtiny) - (uri /usr/share/kicad/library/MCU_Microchip_ATtiny.lib)) - (library (logical RF_Module) - (uri /usr/share/kicad/library/RF_Module.lib))) - (nets - (net (code 1) (name +3V3) - (node (ref J1) (pin 8)) - (node (ref BT1) (pin 1)) - (node (ref U1) (pin 1)) - (node (ref J2) (pin 2)) - (node (ref C2) (pin 1)) - (node (ref C1) (pin 2)) - (node (ref U2) (pin 13))) - (net (code 2) (name GND) - (node (ref U2) (pin 1)) - (node (ref U2) (pin 10)) - (node (ref J1) (pin 7)) - (node (ref BT1) (pin 2)) - (node (ref C1) (pin 1)) - (node (ref J2) (pin 6)) - (node (ref C2) (pin 2)) - (node (ref U1) (pin 14)) - (node (ref U2) (pin 8))) - (net (code 3) (name "Net-(U2-Pad7)") - (node (ref U2) (pin 7))) - (net (code 4) (name DIO0) - (node (ref U1) (pin 2)) - (node (ref U2) (pin 14))) - (net (code 5) (name "Net-(U2-Pad15)") - (node (ref U2) (pin 15))) - (net (code 6) (name "Net-(U2-Pad16)") - (node (ref U2) (pin 16))) - (net (code 7) (name NSS) - (node (ref U2) (pin 5)) - (node (ref U1) (pin 3))) - (net (code 8) (name "Net-(U2-Pad6)") - (node (ref U2) (pin 6))) - (net (code 9) (name "Net-(AE1-Pad1)") - (node (ref AE1) (pin 1)) - (node (ref U2) (pin 9))) - (net (code 10) (name "Net-(U2-Pad12)") - (node (ref U2) (pin 12))) - (net (code 11) (name "Net-(U2-Pad11)") - (node (ref U2) (pin 11))) - (net (code 12) (name PA3) - (node (ref U1) (pin 10)) - (node (ref J1) (pin 3))) - (net (code 13) (name PA2) - (node (ref U1) (pin 11)) - (node (ref J1) (pin 4))) - (net (code 14) (name PA1) - (node (ref U1) (pin 12)) - (node (ref J1) (pin 5))) - (net (code 15) (name PA0) - (node (ref U1) (pin 13)) - (node (ref J1) (pin 6))) - (net (code 16) (name PB2) - (node (ref J1) (pin 1)) - (node (ref U1) (pin 5))) - (net (code 17) (name PA7) - (node (ref U1) (pin 6)) - (node (ref J1) (pin 2))) - (net (code 19) (name MISO) - (node (ref J2) (pin 1)) - (node (ref U1) (pin 8)) - (node (ref U2) (pin 2))) - (net (code 20) (name SCK) - (node (ref J2) (pin 3)) - (node (ref U1) (pin 9)) - (node (ref U2) (pin 4))) - (net (code 21) (name MOSI) - (node (ref U1) (pin 7)) - (node (ref J2) (pin 4)) - (node (ref U2) (pin 3))) - (net (code 22) (name RST) - (node (ref J2) (pin 5)) - (node (ref U1) (pin 4))))) \ No newline at end of file diff --git a/PCB/LORA_ATTINY_v3.pro b/PCB/LORA_ATTINY_v3.pro index 20dd3d0..bb6f2cc 100644 --- a/PCB/LORA_ATTINY_v3.pro +++ b/PCB/LORA_ATTINY_v3.pro @@ -1,4 +1,4 @@ -update=Donnerstag, 23. April 2020 um 22:38:17 +update=Freitag, 24. April 2020 um 08:36:48 version=1 last_client=kicad [general] @@ -26,14 +26,14 @@ ERC_TestSimilarLabels=1 version=1 [PcbFrame] version=1 +[LibeditFrame] +version=1 [SchematicFrame] version=1 [ModEditFrame] version=1 -[LibeditFrame] -version=1 [sheetnames] -1=00000000-0000-0000-0000-00005ea1e77f: +1=00000000-0000-0000-0000-00005ea23dfa: [pcbnew] version=1 PageLayoutDescrFile= diff --git a/PCB/README.md b/PCB/README.md index c18af6f..7ca1a00 100644 --- a/PCB/README.md +++ b/PCB/README.md @@ -1,4 +1,4 @@ -# TinyLora PCB (SMD Version) +# TinyLora PCB (SMD Version) v3 PCB for the TinyLora Sensor Node, SMD Version @@ -6,13 +6,14 @@ PCB for the TinyLora Sensor Node, SMD Version | Reference | Part | Notes | | --------- | --------------------------- | ---------------------------------------------------------------------------- | -| ATTiny84 | ATTiny84A-SSU MCU (SOIC-14) | | +| ATTiny3216| ATTiny3216-S MCU (SOIC-20) | | | RFM95 | HopeRF RFM95W Lora Module | | | R1 | Resistor 100Ω SMD 1206 | Only if LED is mounted, Value fitting for LED | | R2 | Resistor 47kΩ SMD 1206 | Pullup for NSS line, for more reliable programming | -| D1 | LED SMD 1206 | Optional, connected to pin A7 | +| D1 | LED SMD 1206 | Optional, connected to pin 11 (B0) | | C1,C2 | Capacitor 100nF SMD 1206 | Bypass Capacitors for ATTiny and RFM95 | | BAT1 | CR2032 Battery Holder | See pictures for the type I used | | ANT1 | 868 MHz Antenna | SMA Connector, u.fl Connector or Coil Antenna | -| J1 | 1x8 Pin 2.54mm pitch header | Optional, for the Case directly solder the Sensor to the Backside of the PCB | +| J1 | 1x9 Pin 2.54mm pitch header | Optional, for the Case directly solder the Sensor to the Backside of the PCB | +| J2 | 1x4 Pin 2.54mm pitch header | Optional, for the Case directly solder the Sensor to the Backside of the PCB | | PRG1 | 2x3 Pin 2.54mm pitch header | Optional, has to be shortened from standard height to fit in the Case | diff --git a/PCB/fp-info-cache b/PCB/fp-info-cache index 7069f7d..c27299a 100644 --- a/PCB/fp-info-cache +++ b/PCB/fp-info-cache @@ -1,4 +1,4 @@ -16717069823734509 +16717070011530509 Battery BatteryHolder_Bulgin_BX0036_1xC Bulgin{space}Battery{space}Holder,{space}BX0036,{space}Battery{space}Type{space}C{space}(https://www.bulgin.com/products/pub/media/bulgin/data/Battery_holders.pdf) diff --git a/PCB/kicad_libs/Connector_PinHeader_2.54mm.pretty/PinHeader_1x04_P2.54mm_Vertical.kicad_mod b/PCB/kicad_libs/Connector_PinHeader_2.54mm.pretty/PinHeader_1x04_P2.54mm_Vertical.kicad_mod new file mode 100644 index 0000000..6656fef --- /dev/null +++ b/PCB/kicad_libs/Connector_PinHeader_2.54mm.pretty/PinHeader_1x04_P2.54mm_Vertical.kicad_mod @@ -0,0 +1,37 @@ +(module PinHeader_1x04_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) + (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x04 2.54mm single row") + (fp_text reference REF** (at 0 -2.33) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value PinHeader_1x04_P2.54mm_Vertical (at 0 9.95) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (fp_text user %R (at 0 3.81 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) \ No newline at end of file diff --git a/PCB/kicad_libs/Connector_PinHeader_2.54mm.pretty/PinHeader_1x09_P2.54mm_Vertical.kicad_mod b/PCB/kicad_libs/Connector_PinHeader_2.54mm.pretty/PinHeader_1x09_P2.54mm_Vertical.kicad_mod new file mode 100644 index 0000000..766e728 --- /dev/null +++ b/PCB/kicad_libs/Connector_PinHeader_2.54mm.pretty/PinHeader_1x09_P2.54mm_Vertical.kicad_mod @@ -0,0 +1,42 @@ +(module PinHeader_1x09_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) + (descr "Through hole straight pin header, 1x09, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x09 2.54mm single row") + (fp_text reference REF** (at 0 -2.33) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value PinHeader_1x09_P2.54mm_Vertical (at 0 22.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 21.59) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 21.59) (end -1.27 21.59) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 21.59) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 21.65) (end 1.33 21.65) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 21.65) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 21.65) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 22.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 22.1) (end 1.8 22.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 22.1) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 5 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 6 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 7 thru_hole oval (at 0 15.24) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 8 thru_hole oval (at 0 17.78) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (pad 9 thru_hole oval (at 0 20.32) (size 1.7 1.7) (drill 1.0) (layers *.Cu *.Mask)) + (fp_text user %R (at 0 10.16 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x09_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) \ No newline at end of file diff --git a/PCB/kicad_libs/RFM95/RFM95.kicad_mod b/PCB/kicad_libs/RFM95/RFM95.kicad_mod index 29a364e..1e3dad6 100644 --- a/PCB/kicad_libs/RFM95/RFM95.kicad_mod +++ b/PCB/kicad_libs/RFM95/RFM95.kicad_mod @@ -1,45 +1,50 @@ -(module RFM95 (layer F.Cu) (tedit 585E5D4C) - (fp_text reference REF** (at 2 -2) (layer F.SilkS) +(module "RFM:RFM95" (layer F.Cu) (tedit 5EA218BC) + (fp_text reference "REF**" (at 2 -2) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value RFM95 (at 13.5 -1.8) (layer F.Fab) + (fp_text value "RFM95" (at 13.5 -1.8) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start 0 15) (end 0 14.8) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 15) (end 0 15) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 14.8) (end 16 15) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 12.8) (end 16 13.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 10.8) (end 16 11.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 8.8) (end 16 9.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 6.8) (end 16 7.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 4.8) (end 16 5.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 2.8) (end 16 3.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 0.8) (end 16 1.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 16 -1) (end 16 -0.8) (layer F.SilkS) (width 0.2)) - (fp_line (start 0 12.8) (end 0 13.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 0 -0.8) (end 0 -1) (layer F.SilkS) (width 0.2)) - (fp_line (start -1 -0.8) (end 0 -0.8) (layer F.SilkS) (width 0.2)) - (fp_line (start 0 1.2) (end 0 0.8) (layer F.SilkS) (width 0.2)) - (fp_line (start 0 3.2) (end 0 2.8) (layer F.SilkS) (width 0.2)) - (fp_line (start 0 4.8) (end 0 5.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 0 6.8) (end 0 7.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 0 8.8) (end 0 9.2) (layer F.SilkS) (width 0.2)) - (fp_line (start 0 10.8) (end 0 11.2) (layer F.SilkS) (width 0.2)) (fp_line (start 0 -1) (end 16 -1) (layer F.SilkS) (width 0.2)) - (pad 1 smd oval (at 0 0) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 2 smd oval (at 0 2) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 3 smd oval (at 0 4) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 4 smd oval (at 0 6) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 5 smd oval (at 0 8) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 6 smd oval (at 0 10) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 7 smd oval (at 0 12) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 8 smd oval (at 0 14) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 9 smd oval (at 16 14) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 10 smd oval (at 16 12) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 11 smd oval (at 16 10) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 12 smd oval (at 16 8) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 13 smd oval (at 16 6) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 14 smd oval (at 16 4) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 15 smd oval (at 16 2) (size 2 1) (layers F.Cu F.Paste F.Mask)) - (pad 16 smd oval (at 16 0) (size 2 1) (layers F.Cu F.Paste F.Mask)) + (fp_line (start 0 10.8) (end 0 11.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 8.8) (end 0 9.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 6.8) (end 0 7.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 4.8) (end 0 5.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 3.2) (end 0 2.8) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 1.2) (end 0 0.8) (layer F.SilkS) (width 0.2)) + (fp_line (start -1 -0.8) (end 0 -0.8) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 -0.8) (end 0 -1) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 12.8) (end 0 13.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 -1) (end 16 -0.8) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 0.8) (end 16 1.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 2.8) (end 16 3.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 4.8) (end 16 5.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 6.8) (end 16 7.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 8.8) (end 16 9.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 10.8) (end 16 11.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 12.8) (end 16 13.2) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 14.8) (end 16 15) (layer F.SilkS) (width 0.2)) + (fp_line (start 16 15) (end 0 15) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 15) (end 0 14.8) (layer F.SilkS) (width 0.2)) + (pad "16" smd oval (at 16 0) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp eb600661-5f15-40f7-954b-a662d14f6623)) + (pad "15" smd oval (at 16 2) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp be18873b-6099-461d-a0ed-99a6d61bd017)) + (pad "14" smd oval (at 16 4) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 542f5dd5-22c3-4b8f-b44d-55c2f1e2d92e)) + (pad "13" smd oval (at 16 6) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 70a0d090-1248-42ed-b216-137cfae4ffc5)) + (pad "12" smd oval (at 16 8) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a1a9bb01-5e32-47e5-bad5-287f3ef4ce61)) + (pad "11" smd oval (at 16 10) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 28f2b9c4-eda7-413d-bb79-cf3fb3278c9e)) + (pad "10" smd oval (at 16 12) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2a9b8410-bdc1-4be5-9ac0-5d90ab5a6ec9)) + (pad "9" smd oval (at 16 14) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7a4891ab-d011-4db7-acf6-0d4c2ec3add9)) + (pad "8" smd oval (at 0 14) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3903414d-d9a9-4d20-98fe-1842b04f5cd6)) + (pad "7" smd oval (at 0 12) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3d3a44c9-fdd5-4c75-bcd0-bbda3649e380)) + (pad "6" smd oval (at 0 10) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6429d5a8-a7e6-406c-9c82-48d51e0c081b)) + (pad "5" smd oval (at 0 8) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ba98c3a1-67ff-4a5c-873e-0b20d219a4bc)) + (pad "4" smd oval (at 0 6) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a5c4034c-deab-4eae-8cd6-5b3bb340a77f)) + (pad "3" smd oval (at 0 4) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d7f45290-599b-4dc0-8428-143f20809cc2)) + (pad "2" smd oval (at 0 2) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6eedbd07-f058-4160-9142-8c162d647398)) + (pad "1" smd oval (at 0 0) (size 2 1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 65e2bfd6-f3cb-406c-b560-aa7a911ddba3)) + (model "${KISYS3DMOD}/RFM95.3dshapes/RFM95.wrl" + (offset (xyz 0 0.9 0)) + (scale (xyz 0.39 0.39 0.39)) + (rotate (xyz -90 0 0)) + ) ) diff --git a/PCB/kicad_libs/RFM95/RFM95.step b/PCB/kicad_libs/RFM95/RFM95.step new file mode 100644 index 0000000..018226d --- /dev/null +++ b/PCB/kicad_libs/RFM95/RFM95.step @@ -0,0 +1,33496 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ + +FILE_DESCRIPTION( +/* description */ (''), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ 'E:/UpWork/3D Parts/RF/RFM95W LoRa v15.step', +/* time_stamp */ '2018-08-26T23:56:27-06:00', +/* author */ ('JonGordon'), +/* organization */ (''), +/* preprocessor_version */ 'ST-DEVELOPER v17.2', +/* originating_system */ 'Autodesk Translation Framework v7.6.0.251', +/* authorisation */ ''); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); +ENDSEC; + +DATA; +#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#17718, +#17719,#17720,#17721,#17722,#17723,#17724,#17725,#17726,#17727,#17728,#17729, +#17730,#17731,#17732,#17733,#17734,#17735,#17736,#17737,#17738,#17739,#17740, +#17741,#17742,#17743,#17744,#17745,#17746,#17747,#17748,#17749,#17750,#17751, +#17752,#17753,#17754,#17755,#17756,#17757,#17758,#17759,#17760,#17761,#17762, +#17763,#17764,#17765,#17766,#17767,#17768,#17769,#17770,#17771,#17772,#17773, +#17774,#17775,#17776,#17777,#17778,#17779,#17780,#17781,#17782,#17783,#17784, +#17785,#17786,#17787,#17788,#17789,#17790,#17791,#17792,#17793,#17794,#17795, +#17796,#17797,#17798,#17799,#17800,#17801,#17802,#17803,#17804,#17805,#17806, +#17807,#17808,#17809,#17810,#17811,#17812,#17813,#17814,#17815,#17816,#17817, +#17818,#17819,#17820,#17821,#17822,#17823,#17824,#17825,#17826,#17827,#17828, +#17829,#17830,#17831,#17832,#17833,#17834,#17835,#17836,#17837,#17838,#17839, +#17840,#17841,#17842,#17843,#17844,#17845,#17846,#17847,#17848,#17849,#17850, +#17851,#17852,#17853,#17854,#17855,#17856,#17857,#17858,#17859,#17860,#17861, +#17862,#17863,#17864,#17865,#17866,#17867,#17868,#17869,#17870,#17871,#17872, +#17873,#17874,#17875,#17876,#17877,#17878,#17879,#17880,#17881,#17882,#17883, +#17884,#17885,#17886,#17887,#17888,#17889,#17890,#17891,#17892,#17893,#17894, +#17895,#17896,#17897,#17898,#17899,#17900,#17901,#17902,#17903,#17904,#17905, +#17906,#17907,#17908,#17909,#17910,#17911,#17912,#17913,#17914,#17915,#17916, +#17917,#17918,#17919,#17920,#17921,#17922,#17923,#17924,#17925,#17926,#17927, +#17928,#17929,#17930,#17931,#17932,#17933,#17934,#17935,#17936,#17937,#17938, +#17939,#17940,#17941,#17942,#17943,#17944,#17945,#17946,#17947,#17948,#17949, +#17950,#17951,#17952,#17953,#17954,#17955,#17956,#17957,#17958,#17959,#17960, +#17961,#17962,#17963,#17964,#17965,#17966,#17967,#17968,#17969,#17970,#17971, +#17972,#17973,#17974,#17975,#17976,#17977,#17978,#17979,#17980,#17981,#17982, +#17983,#17984,#17985,#17986,#17987,#17988,#17989,#17990,#17991,#17992,#17993, +#17994,#17995,#17996,#17997,#17998,#17999,#18000,#18001,#18002,#18003,#18004, +#18005,#18006,#18007,#18008,#18009,#18010,#18011,#18012,#18013,#18014,#18015, +#18016,#18017,#18018,#18019,#18020,#18021,#18022,#18023,#18024,#18025,#18026, +#18027,#18028,#18029,#18030,#18031,#18032,#18033,#18034,#18035,#18036,#18037, +#18038,#18039,#18040,#18041,#18042,#18043,#18044,#18045,#18046,#18047,#18048, +#18049,#18050,#18051,#18052,#18053,#18054,#18055,#18056,#18057,#18058,#18059, +#18060,#18061,#18062,#18063,#18064,#18065,#18066,#18067,#18068,#18069,#18070, +#18071,#18072,#18073,#18074,#18075,#18076,#18077,#18078,#18079,#18080,#18081, +#18082,#18083,#18084,#18085,#18086,#18087,#18088,#18089,#18090,#18091,#18092, +#18093,#18094,#18095,#18096,#18097,#18098,#18099,#18100,#18101,#18102,#18103, +#18104,#18105,#18106,#18107,#18108,#18109,#18110,#18111,#18112,#18113,#18114, +#18115,#18116,#18117,#18118,#18119),#32267); +#11=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#32381),#32334,#18119, +(#80)); +#12=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#32381),#32334,#18119, +(#81)); +#13=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#32381),#32334,#18119, +(#82)); +#14=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#32381),#32334,#18119, +(#83)); +#15=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#32381),#32334,#18119, +(#84)); +#16=MAPPED_ITEM('',#17,#21077); +#17=REPRESENTATION_MAP(#21077,#32326); +#18=ITEM_DEFINED_TRANSFORMATION($,$,#19377,#21043); +#19=ITEM_DEFINED_TRANSFORMATION($,$,#19935,#21044); +#20=ITEM_DEFINED_TRANSFORMATION($,$,#20011,#21045); +#21=ITEM_DEFINED_TRANSFORMATION($,$,#20011,#21046); +#22=ITEM_DEFINED_TRANSFORMATION($,$,#20011,#21047); +#23=ITEM_DEFINED_TRANSFORMATION($,$,#20011,#21048); +#24=ITEM_DEFINED_TRANSFORMATION($,$,#20011,#21049); +#25=ITEM_DEFINED_TRANSFORMATION($,$,#20011,#21050); +#26=ITEM_DEFINED_TRANSFORMATION($,$,#20011,#21051); +#27=ITEM_DEFINED_TRANSFORMATION($,$,#20011,#21052); +#28=ITEM_DEFINED_TRANSFORMATION($,$,#20110,#21053); +#29=ITEM_DEFINED_TRANSFORMATION($,$,#20110,#21054); +#30=ITEM_DEFINED_TRANSFORMATION($,$,#20110,#21055); +#31=ITEM_DEFINED_TRANSFORMATION($,$,#20110,#21056); +#32=ITEM_DEFINED_TRANSFORMATION($,$,#20110,#21057); +#33=ITEM_DEFINED_TRANSFORMATION($,$,#20110,#21058); +#34=ITEM_DEFINED_TRANSFORMATION($,$,#20110,#21059); +#35=ITEM_DEFINED_TRANSFORMATION($,$,#20110,#21060); +#36=ITEM_DEFINED_TRANSFORMATION($,$,#20209,#21061); +#37=ITEM_DEFINED_TRANSFORMATION($,$,#20209,#21062); +#38=ITEM_DEFINED_TRANSFORMATION($,$,#20209,#21063); +#39=ITEM_DEFINED_TRANSFORMATION($,$,#20209,#21064); +#40=ITEM_DEFINED_TRANSFORMATION($,$,#20300,#21065); +#41=ITEM_DEFINED_TRANSFORMATION($,$,#20300,#21066); +#42=ITEM_DEFINED_TRANSFORMATION($,$,#20300,#21067); +#43=ITEM_DEFINED_TRANSFORMATION($,$,#20300,#21068); +#44=ITEM_DEFINED_TRANSFORMATION($,$,#20300,#21069); +#45=ITEM_DEFINED_TRANSFORMATION($,$,#20399,#21070); +#46=ITEM_DEFINED_TRANSFORMATION($,$,#20522,#21071); +#47=ITEM_DEFINED_TRANSFORMATION($,$,#20522,#21072); +#48=ITEM_DEFINED_TRANSFORMATION($,$,#20522,#21073); +#49=ITEM_DEFINED_TRANSFORMATION($,$,#20522,#21074); +#50=ITEM_DEFINED_TRANSFORMATION($,$,#20522,#21075); +#51=ITEM_DEFINED_TRANSFORMATION($,$,#20544,#21076); +#52=( +REPRESENTATION_RELATIONSHIP($,$,#32327,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#18) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#53=( +REPRESENTATION_RELATIONSHIP($,$,#32328,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#19) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#54=( +REPRESENTATION_RELATIONSHIP($,$,#32329,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#20) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#55=( +REPRESENTATION_RELATIONSHIP($,$,#32329,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#21) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#56=( +REPRESENTATION_RELATIONSHIP($,$,#32329,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#22) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#57=( +REPRESENTATION_RELATIONSHIP($,$,#32329,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#23) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#58=( +REPRESENTATION_RELATIONSHIP($,$,#32329,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#24) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#59=( +REPRESENTATION_RELATIONSHIP($,$,#32329,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#60=( +REPRESENTATION_RELATIONSHIP($,$,#32329,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#26) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#61=( +REPRESENTATION_RELATIONSHIP($,$,#32329,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#27) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#62=( +REPRESENTATION_RELATIONSHIP($,$,#32330,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#28) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#63=( +REPRESENTATION_RELATIONSHIP($,$,#32330,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#29) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#64=( +REPRESENTATION_RELATIONSHIP($,$,#32330,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#30) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#65=( +REPRESENTATION_RELATIONSHIP($,$,#32330,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#31) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#66=( +REPRESENTATION_RELATIONSHIP($,$,#32330,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#32) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#67=( +REPRESENTATION_RELATIONSHIP($,$,#32330,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#33) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#68=( +REPRESENTATION_RELATIONSHIP($,$,#32330,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#34) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#69=( +REPRESENTATION_RELATIONSHIP($,$,#32330,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#35) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#70=( +REPRESENTATION_RELATIONSHIP($,$,#32331,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#36) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#71=( +REPRESENTATION_RELATIONSHIP($,$,#32331,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#37) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#72=( +REPRESENTATION_RELATIONSHIP($,$,#32331,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#38) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#73=( +REPRESENTATION_RELATIONSHIP($,$,#32331,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#39) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#74=( +REPRESENTATION_RELATIONSHIP($,$,#32332,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#40) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#75=( +REPRESENTATION_RELATIONSHIP($,$,#32332,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#41) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#76=( +REPRESENTATION_RELATIONSHIP($,$,#32332,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#42) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#77=( +REPRESENTATION_RELATIONSHIP($,$,#32332,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#43) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#78=( +REPRESENTATION_RELATIONSHIP($,$,#32332,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#44) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#79=( +REPRESENTATION_RELATIONSHIP($,$,#32333,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#45) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#80=( +REPRESENTATION_RELATIONSHIP($,$,#32334,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#46) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#81=( +REPRESENTATION_RELATIONSHIP($,$,#32334,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#47) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#82=( +REPRESENTATION_RELATIONSHIP($,$,#32334,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#48) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#83=( +REPRESENTATION_RELATIONSHIP($,$,#32334,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#49) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#84=( +REPRESENTATION_RELATIONSHIP($,$,#32334,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#50) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#85=( +REPRESENTATION_RELATIONSHIP($,$,#32335,#32326) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#51) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#86=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#52,#32292); +#87=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#53,#32293); +#88=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#54,#32294); +#89=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#55,#32295); +#90=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#56,#32296); +#91=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#57,#32297); +#92=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#58,#32298); +#93=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#59,#32299); +#94=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#60,#32300); +#95=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#61,#32301); +#96=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#62,#32302); +#97=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#63,#32303); +#98=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#64,#32304); +#99=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#65,#32305); +#100=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#66,#32306); +#101=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#67,#32307); +#102=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#68,#32308); +#103=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#69,#32309); +#104=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#70,#32310); +#105=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#71,#32311); +#106=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#72,#32312); +#107=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#73,#32313); +#108=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#74,#32314); +#109=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#75,#32315); +#110=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#76,#32316); +#111=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#77,#32317); +#112=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#78,#32318); +#113=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#79,#32319); +#114=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#80,#32320); +#115=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#81,#32321); +#116=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#82,#32322); +#117=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#83,#32323); +#118=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#84,#32324); +#119=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#85,#32325); +#120=NEXT_ASSEMBLY_USAGE_OCCURRENCE('QFN 28 v2:1','QFN 28 v2:1', +'QFN 28 v2:1',#32337,#32338,'QFN 28 v2:1'); +#121=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SMD Crystal v1:1', +'SMD Crystal v1:1','SMD Crystal v1:1',#32337,#32339,'SMD Crystal v1:1'); +#122=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP 0403 v1:1','CAP 0403 v1:1', +'CAP 0403 v1:1',#32337,#32340,'CAP 0403 v1:1'); +#123=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP 0403 v1:2','CAP 0403 v1:2', +'CAP 0403 v1:2',#32337,#32340,'CAP 0403 v1:2'); +#124=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP 0403 v1:3','CAP 0403 v1:3', +'CAP 0403 v1:3',#32337,#32340,'CAP 0403 v1:3'); +#125=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP 0403 v1:4','CAP 0403 v1:4', +'CAP 0403 v1:4',#32337,#32340,'CAP 0403 v1:4'); +#126=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP 0403 v1:5','CAP 0403 v1:5', +'CAP 0403 v1:5',#32337,#32340,'CAP 0403 v1:5'); +#127=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP 0403 v1:6','CAP 0403 v1:6', +'CAP 0403 v1:6',#32337,#32340,'CAP 0403 v1:6'); +#128=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP 0403 v1:7','CAP 0403 v1:7', +'CAP 0403 v1:7',#32337,#32340,'CAP 0403 v1:7'); +#129=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP 0403 v1:8','CAP 0403 v1:8', +'CAP 0403 v1:8',#32337,#32340,'CAP 0403 v1:8'); +#130=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP Ceramic 0403 v1:1', +'CAP Ceramic 0403 v1:1','CAP Ceramic 0403 v1:1',#32337,#32341, +'CAP Ceramic 0403 v1:1'); +#131=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP Ceramic 0403 v1:2', +'CAP Ceramic 0403 v1:2','CAP Ceramic 0403 v1:2',#32337,#32341, +'CAP Ceramic 0403 v1:2'); +#132=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP Ceramic 0403 v1:3', +'CAP Ceramic 0403 v1:3','CAP Ceramic 0403 v1:3',#32337,#32341, +'CAP Ceramic 0403 v1:3'); +#133=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP Ceramic 0403 v1:4', +'CAP Ceramic 0403 v1:4','CAP Ceramic 0403 v1:4',#32337,#32341, +'CAP Ceramic 0403 v1:4'); +#134=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP Ceramic 0403 v1:5', +'CAP Ceramic 0403 v1:5','CAP Ceramic 0403 v1:5',#32337,#32341, +'CAP Ceramic 0403 v1:5'); +#135=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP Ceramic 0403 v1:6', +'CAP Ceramic 0403 v1:6','CAP Ceramic 0403 v1:6',#32337,#32341, +'CAP Ceramic 0403 v1:6'); +#136=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP Ceramic 0403 v1:7', +'CAP Ceramic 0403 v1:7','CAP Ceramic 0403 v1:7',#32337,#32341, +'CAP Ceramic 0403 v1:7'); +#137=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP Ceramic 0403 v1:8', +'CAP Ceramic 0403 v1:8','CAP Ceramic 0403 v1:8',#32337,#32341, +'CAP Ceramic 0403 v1:8'); +#138=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Res 0403 v1:1','Res 0403 v1:1', +'Res 0403 v1:1',#32337,#32342,'Res 0403 v1:1'); +#139=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Res 0403 v1:2','Res 0403 v1:2', +'Res 0403 v1:2',#32337,#32342,'Res 0403 v1:2'); +#140=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Res 0403 v1:3','Res 0403 v1:3', +'Res 0403 v1:3',#32337,#32342,'Res 0403 v1:3'); +#141=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Res 0403 v1:4','Res 0403 v1:4', +'Res 0403 v1:4',#32337,#32342,'Res 0403 v1:4'); +#142=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP ML 0403 v1:1', +'CAP ML 0403 v1:1','CAP ML 0403 v1:1',#32337,#32343,'CAP ML 0403 v1:1'); +#143=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP ML 0403 v1:2', +'CAP ML 0403 v1:2','CAP ML 0403 v1:2',#32337,#32343,'CAP ML 0403 v1:2'); +#144=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP ML 0403 v1:3', +'CAP ML 0403 v1:3','CAP ML 0403 v1:3',#32337,#32343,'CAP ML 0403 v1:3'); +#145=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP ML 0403 v1:4', +'CAP ML 0403 v1:4','CAP ML 0403 v1:4',#32337,#32343,'CAP ML 0403 v1:4'); +#146=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP ML 0403 v1:5', +'CAP ML 0403 v1:5','CAP ML 0403 v1:5',#32337,#32343,'CAP ML 0403 v1:5'); +#147=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOT-323 v1:1','SOT-323 v1:1', +'SOT-323 v1:1',#32337,#32344,'SOT-323 v1:1'); +#148=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Inductor SMD 0403 v1:1', +'Inductor SMD 0403 v1:1','Inductor SMD 0403 v1:1',#32337,#32345, +'Inductor SMD 0403 v1:1'); +#149=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Inductor SMD 0403 v1:2', +'Inductor SMD 0403 v1:2','Inductor SMD 0403 v1:2',#32337,#32345, +'Inductor SMD 0403 v1:2'); +#150=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Inductor SMD 0403 v1:3', +'Inductor SMD 0403 v1:3','Inductor SMD 0403 v1:3',#32337,#32345, +'Inductor SMD 0403 v1:3'); +#151=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Inductor SMD 0403 v1:4', +'Inductor SMD 0403 v1:4','Inductor SMD 0403 v1:4',#32337,#32345, +'Inductor SMD 0403 v1:4'); +#152=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Inductor SMD 0403 v1:5', +'Inductor SMD 0403 v1:5','Inductor SMD 0403 v1:5',#32337,#32345, +'Inductor SMD 0403 v1:5'); +#153=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOT-363 v1:1','SOT-363 v1:1', +'SOT-363 v1:1',#32337,#32346,'SOT-363 v1:1'); +#154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29479,#29480,#29481,#29482,#29483, +#29484,#29485,#29486,#29487,#29488,#29489,#29490,#29491,#29492,#29493,#29494, +#29495,#29496,#29497,#29498,#29499,#29500,#29501,#29502,#29503,#29504,#29505, +#29506),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,4),(0.,0.184109612366236, +0.241282461344167,0.388913390964073,0.451706938447607,0.605137621470452, +0.657972232145544,0.808759972894248,0.841176860503999,1.),.UNSPECIFIED.); +#155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29510,#29511,#29512,#29513,#29514, +#29515,#29516,#29517,#29518,#29519,#29520,#29521,#29522,#29523,#29524,#29525, +#29526,#29527,#29528,#29529,#29530,#29531,#29532,#29533,#29534,#29535,#29536, +#29537),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,4),(0.,0.184109590111345, +0.241282445681986,0.388913364949577,0.45170691272336,0.60513759213352,0.657972206272523, +0.808759953734868,0.841176844202284,1.),.UNSPECIFIED.); +#156=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29605,#29606,#29607,#29608,#29609, +#29610,#29611,#29612,#29613,#29614,#29615,#29616,#29617,#29618,#29619,#29620, +#29621,#29622,#29623,#29624,#29625,#29626,#29627,#29628,#29629,#29630,#29631, +#29632),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,4),(0.,0.184109588680685, +0.241282445703206,0.388913364904942,0.451706912785788,0.605137592202668, +0.657972206407699,0.808759954343258,0.841176844328594,1.),.UNSPECIFIED.); +#157=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29634,#29635,#29636,#29637,#29638, +#29639,#29640,#29641,#29642,#29643,#29644,#29645,#29646,#29647,#29648,#29649, +#29650,#29651,#29652,#29653,#29654,#29655,#29656,#29657,#29658,#29659,#29660, +#29661),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,4),(0.,0.1841155669289, +0.240743608965782,0.388548228934454,0.450981560203941,0.604415051105537, +0.656960208790048,0.807590171190092,0.840432785824147,1.),.UNSPECIFIED.); +#158=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29665,#29666,#29667,#29668,#29669, +#29670,#29671,#29672,#29673,#29674,#29675,#29676,#29677,#29678,#29679,#29680, +#29681,#29682,#29683,#29684,#29685,#29686,#29687,#29688,#29689,#29690,#29691, +#29692),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,4),(0.,0.184115543104831, +0.240743592995389,0.388548202908802,0.450981534097058,0.604415021094253, +0.656960181919479,0.807590151090154,0.840432768616956,1.),.UNSPECIFIED.); +#159=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29694,#29695,#29696,#29697,#29698, +#29699,#29700,#29701,#29702,#29703,#29704,#29705,#29706,#29707,#29708,#29709, +#29710,#29711,#29712,#29713,#29714,#29715,#29716,#29717,#29718,#29719,#29720, +#29721),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,4),(0.,0.184115558182044, +0.240743608563156,0.388548228885317,0.450981559600743,0.604415050239754, +0.656960208150244,0.807590173720469,0.840432785332629,1.),.UNSPECIFIED.); +#160=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29816,#29817,#29818,#29819,#29820, +#29821,#29822,#29823,#29824,#29825,#29826,#29827,#29828,#29829,#29830,#29831, +#29832,#29833,#29834,#29835,#29836,#29837,#29838,#29839,#29840,#29841,#29842, +#29843),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,4),(0.,0.184109588759535, +0.241282445923512,0.388913365358777,0.451706913048469,0.605137592357811, +0.657972206472103,0.808759954060538,0.841176844263345,1.),.UNSPECIFIED.); +#161=B_SPLINE_CURVE_WITH_KNOTS('',3,(#29844,#29845,#29846,#29847,#29848, +#29849,#29850,#29851,#29852,#29853,#29854,#29855,#29856,#29857,#29858,#29859, +#29860,#29861,#29862,#29863,#29864,#29865,#29866,#29867,#29868,#29869,#29870, +#29871),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,4),(0.,0.179743860919776, +0.23579924564239,0.382918303135519,0.448458947985608,0.602501352918012, +0.634440252971187,0.785982159210638,0.820754790971557,1.),.UNSPECIFIED.); +#162=SPHERICAL_SURFACE('',#20034,0.08); +#163=SPHERICAL_SURFACE('',#20039,0.08); +#164=SPHERICAL_SURFACE('',#20080,0.08); +#165=SPHERICAL_SURFACE('',#20083,0.08); +#166=SPHERICAL_SURFACE('',#20087,0.08); +#167=SPHERICAL_SURFACE('',#20090,0.08); +#168=SPHERICAL_SURFACE('',#20108,0.08); +#169=SPHERICAL_SURFACE('',#20109,0.08); +#170=SPHERICAL_SURFACE('',#20133,0.08); +#171=SPHERICAL_SURFACE('',#20138,0.08); +#172=SPHERICAL_SURFACE('',#20179,0.08); +#173=SPHERICAL_SURFACE('',#20182,0.08); +#174=SPHERICAL_SURFACE('',#20186,0.08); +#175=SPHERICAL_SURFACE('',#20189,0.08); +#176=SPHERICAL_SURFACE('',#20207,0.08); +#177=SPHERICAL_SURFACE('',#20208,0.08); +#178=SPHERICAL_SURFACE('',#20230,0.08); +#179=SPHERICAL_SURFACE('',#20235,0.08); +#180=SPHERICAL_SURFACE('',#20272,0.08); +#181=SPHERICAL_SURFACE('',#20275,0.08); +#182=SPHERICAL_SURFACE('',#20279,0.08); +#183=SPHERICAL_SURFACE('',#20282,0.08); +#184=SPHERICAL_SURFACE('',#20298,0.08); +#185=SPHERICAL_SURFACE('',#20299,0.08); +#186=SPHERICAL_SURFACE('',#20323,0.08); +#187=SPHERICAL_SURFACE('',#20328,0.08); +#188=SPHERICAL_SURFACE('',#20369,0.08); +#189=SPHERICAL_SURFACE('',#20372,0.08); +#190=SPHERICAL_SURFACE('',#20376,0.08); +#191=SPHERICAL_SURFACE('',#20379,0.08); +#192=SPHERICAL_SURFACE('',#20397,0.08); +#193=SPHERICAL_SURFACE('',#20398,0.08); +#194=SPHERICAL_SURFACE('',#20429,0.05); +#195=SPHERICAL_SURFACE('',#20432,0.05); +#196=SPHERICAL_SURFACE('',#20448,0.05); +#197=SPHERICAL_SURFACE('',#20450,0.05); +#198=SPHERICAL_SURFACE('',#20457,0.05); +#199=SPHERICAL_SURFACE('',#20463,0.05); +#200=SPHERICAL_SURFACE('',#20473,0.05); +#201=SPHERICAL_SURFACE('',#20475,0.05); +#202=SPHERICAL_SURFACE('',#20558,0.05); +#203=SPHERICAL_SURFACE('',#20561,0.05); +#204=SPHERICAL_SURFACE('',#20577,0.05); +#205=SPHERICAL_SURFACE('',#20579,0.05); +#206=SPHERICAL_SURFACE('',#20586,0.05); +#207=SPHERICAL_SURFACE('',#20592,0.05); +#208=SPHERICAL_SURFACE('',#20602,0.05); +#209=SPHERICAL_SURFACE('',#20604,0.05); +#210=TOROIDAL_SURFACE('',#19967,0.279128784747792,0.1); +#211=TOROIDAL_SURFACE('',#19973,0.279128784747793,0.1); +#212=TOROIDAL_SURFACE('',#19979,0.279128784747792,0.1); +#213=TOROIDAL_SURFACE('',#19985,0.279128784747792,0.1); +#214=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32327,#224); +#215=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32328,#225); +#216=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32329,#226); +#217=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32330,#227); +#218=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32331,#228); +#219=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32332,#229); +#220=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32333,#230); +#221=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32334,#231); +#222=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32335,#232); +#223=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#32326,#233); +#224=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1030,#1031,#1032,#1033,#1034, +#1035,#1036,#1037,#1038,#1039,#1040,#1041,#1042,#1043,#1044,#1045,#1046, +#1047,#1048,#1049,#1050,#1051,#1052,#1053,#1054,#1055,#1056,#1057,#1058, +#1059,#1060,#1061,#1062,#1063,#1064,#1065,#1066,#1067,#1068,#1069,#1070, +#1071,#1072,#1073,#1074,#1075,#1076,#1077,#1078,#1079,#1080,#1081,#1082, +#1083,#1084,#1085,#1086,#1087),#32258); +#225=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1088),#32259); +#226=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1089),#32260); +#227=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1090),#32261); +#228=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1091),#32262); +#229=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1092),#32263); +#230=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1093),#32264); +#231=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1094),#32265); +#232=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1095),#32266); +#233=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1096),#32257); +#234=CIRCLE('',#19699,0.18); +#235=CIRCLE('',#19700,0.18); +#236=CIRCLE('',#19707,0.18); +#237=CIRCLE('',#19708,0.18); +#238=CIRCLE('',#19715,0.18); +#239=CIRCLE('',#19716,0.18); +#240=CIRCLE('',#19723,0.18); +#241=CIRCLE('',#19724,0.18); +#242=CIRCLE('',#19731,0.18); +#243=CIRCLE('',#19732,0.18); +#244=CIRCLE('',#19739,0.18); +#245=CIRCLE('',#19740,0.18); +#246=CIRCLE('',#19747,0.18); +#247=CIRCLE('',#19748,0.18); +#248=CIRCLE('',#19755,0.18); +#249=CIRCLE('',#19756,0.18); +#250=CIRCLE('',#19763,0.18); +#251=CIRCLE('',#19764,0.18); +#252=CIRCLE('',#19771,0.18); +#253=CIRCLE('',#19772,0.18); +#254=CIRCLE('',#19779,0.18); +#255=CIRCLE('',#19780,0.18); +#256=CIRCLE('',#19787,0.18); +#257=CIRCLE('',#19788,0.18); +#258=CIRCLE('',#19795,0.18); +#259=CIRCLE('',#19796,0.18); +#260=CIRCLE('',#19803,0.18); +#261=CIRCLE('',#19804,0.18); +#262=CIRCLE('',#19811,0.18); +#263=CIRCLE('',#19812,0.18); +#264=CIRCLE('',#19819,0.18); +#265=CIRCLE('',#19820,0.18); +#266=CIRCLE('',#19827,0.18); +#267=CIRCLE('',#19828,0.18); +#268=CIRCLE('',#19835,0.18); +#269=CIRCLE('',#19836,0.18); +#270=CIRCLE('',#19843,0.18); +#271=CIRCLE('',#19844,0.18); +#272=CIRCLE('',#19851,0.18); +#273=CIRCLE('',#19852,0.18); +#274=CIRCLE('',#19859,0.18); +#275=CIRCLE('',#19860,0.18); +#276=CIRCLE('',#19867,0.18); +#277=CIRCLE('',#19868,0.18); +#278=CIRCLE('',#19875,0.18); +#279=CIRCLE('',#19876,0.18); +#280=CIRCLE('',#19883,0.18); +#281=CIRCLE('',#19884,0.18); +#282=CIRCLE('',#19891,0.18); +#283=CIRCLE('',#19892,0.18); +#284=CIRCLE('',#19899,0.18); +#285=CIRCLE('',#19900,0.18); +#286=CIRCLE('',#19907,0.18); +#287=CIRCLE('',#19908,0.18); +#288=CIRCLE('',#19915,0.18); +#289=CIRCLE('',#19916,0.18); +#290=CIRCLE('',#19937,0.359633415165462); +#291=CIRCLE('',#19938,0.359633415165462); +#292=CIRCLE('',#19940,0.35); +#293=CIRCLE('',#19942,0.357648215285278); +#294=CIRCLE('',#19943,0.357648215285278); +#295=CIRCLE('',#19945,0.35); +#296=CIRCLE('',#19947,0.359053123360262); +#297=CIRCLE('',#19948,0.359053123360262); +#298=CIRCLE('',#19950,0.35); +#299=CIRCLE('',#19952,0.35); +#300=CIRCLE('',#19955,0.35); +#301=CIRCLE('',#19957,0.35); +#302=CIRCLE('',#19959,0.354400743567264); +#303=CIRCLE('',#19960,0.354400743567264); +#304=CIRCLE('',#19962,0.35); +#305=CIRCLE('',#19965,0.1); +#306=CIRCLE('',#19966,0.1); +#307=CIRCLE('',#19968,0.1); +#308=CIRCLE('',#19969,0.279128784747792); +#309=CIRCLE('',#19970,0.187477270848675); +#310=CIRCLE('',#19972,0.1); +#311=CIRCLE('',#19974,0.1); +#312=CIRCLE('',#19975,0.279128784747793); +#313=CIRCLE('',#19976,0.187477270848676); +#314=CIRCLE('',#19978,0.0999999999999996); +#315=CIRCLE('',#19980,0.0999999999999999); +#316=CIRCLE('',#19981,0.279128784747792); +#317=CIRCLE('',#19982,0.187477270848675); +#318=CIRCLE('',#19984,0.0999999999999999); +#319=CIRCLE('',#19986,0.279128784747792); +#320=CIRCLE('',#19987,0.187477270848675); +#321=CIRCLE('',#19988,0.15); +#322=CIRCLE('',#19989,0.0500000000000007); +#323=CIRCLE('',#19990,0.15); +#324=CIRCLE('',#19992,0.15); +#325=CIRCLE('',#19993,0.15); +#326=CIRCLE('',#19994,0.0499999999999999); +#327=CIRCLE('',#19996,0.15); +#328=CIRCLE('',#19998,0.35); +#329=CIRCLE('',#20000,0.15); +#330=CIRCLE('',#20001,0.15); +#331=CIRCLE('',#20002,0.15); +#332=CIRCLE('',#20003,0.0499999999999999); +#333=CIRCLE('',#20005,0.0499999999999996); +#334=CIRCLE('',#20016,0.08); +#335=CIRCLE('',#20018,0.08); +#336=CIRCLE('',#20020,0.16); +#337=CIRCLE('',#20021,0.16); +#338=CIRCLE('',#20022,0.64875); +#339=CIRCLE('',#20023,0.16); +#340=CIRCLE('',#20024,0.16); +#341=CIRCLE('',#20025,0.64875); +#342=CIRCLE('',#20027,0.16); +#343=CIRCLE('',#20028,0.648750000000001); +#344=CIRCLE('',#20029,0.16); +#345=CIRCLE('',#20030,0.16); +#346=CIRCLE('',#20031,0.648750000000001); +#347=CIRCLE('',#20032,0.16); +#348=CIRCLE('',#20035,0.08); +#349=CIRCLE('',#20036,0.08); +#350=CIRCLE('',#20040,0.08); +#351=CIRCLE('',#20041,0.08); +#352=CIRCLE('',#20050,0.08); +#353=CIRCLE('',#20052,0.08); +#354=CIRCLE('',#20054,0.08); +#355=CIRCLE('',#20056,0.08); +#356=CIRCLE('',#20058,0.08); +#357=CIRCLE('',#20060,0.08); +#358=CIRCLE('',#20062,0.08); +#359=CIRCLE('',#20064,0.08); +#360=CIRCLE('',#20066,0.16); +#361=CIRCLE('',#20067,0.16); +#362=CIRCLE('',#20068,0.648750000000001); +#363=CIRCLE('',#20069,0.16); +#364=CIRCLE('',#20070,0.16); +#365=CIRCLE('',#20071,0.648750000000001); +#366=CIRCLE('',#20073,0.16); +#367=CIRCLE('',#20074,0.64875); +#368=CIRCLE('',#20075,0.16); +#369=CIRCLE('',#20076,0.16); +#370=CIRCLE('',#20077,0.64875); +#371=CIRCLE('',#20078,0.16); +#372=CIRCLE('',#20081,0.08); +#373=CIRCLE('',#20084,0.08); +#374=CIRCLE('',#20088,0.08); +#375=CIRCLE('',#20091,0.08); +#376=CIRCLE('',#20097,0.08); +#377=CIRCLE('',#20099,0.08); +#378=CIRCLE('',#20101,0.08); +#379=CIRCLE('',#20103,0.08); +#380=CIRCLE('',#20105,0.08); +#381=CIRCLE('',#20107,0.08); +#382=CIRCLE('',#20115,0.08); +#383=CIRCLE('',#20117,0.08); +#384=CIRCLE('',#20119,0.16); +#385=CIRCLE('',#20120,0.16); +#386=CIRCLE('',#20121,0.64875); +#387=CIRCLE('',#20122,0.16); +#388=CIRCLE('',#20123,0.16); +#389=CIRCLE('',#20124,0.64875); +#390=CIRCLE('',#20126,0.16); +#391=CIRCLE('',#20127,0.648750000000001); +#392=CIRCLE('',#20128,0.16); +#393=CIRCLE('',#20129,0.16); +#394=CIRCLE('',#20130,0.648750000000001); +#395=CIRCLE('',#20131,0.16); +#396=CIRCLE('',#20134,0.08); +#397=CIRCLE('',#20135,0.08); +#398=CIRCLE('',#20139,0.08); +#399=CIRCLE('',#20140,0.08); +#400=CIRCLE('',#20149,0.08); +#401=CIRCLE('',#20151,0.08); +#402=CIRCLE('',#20153,0.08); +#403=CIRCLE('',#20155,0.08); +#404=CIRCLE('',#20157,0.08); +#405=CIRCLE('',#20159,0.08); +#406=CIRCLE('',#20161,0.08); +#407=CIRCLE('',#20163,0.08); +#408=CIRCLE('',#20165,0.16); +#409=CIRCLE('',#20166,0.16); +#410=CIRCLE('',#20167,0.648750000000001); +#411=CIRCLE('',#20168,0.16); +#412=CIRCLE('',#20169,0.16); +#413=CIRCLE('',#20170,0.648750000000001); +#414=CIRCLE('',#20172,0.16); +#415=CIRCLE('',#20173,0.64875); +#416=CIRCLE('',#20174,0.16); +#417=CIRCLE('',#20175,0.16); +#418=CIRCLE('',#20176,0.64875); +#419=CIRCLE('',#20177,0.16); +#420=CIRCLE('',#20180,0.08); +#421=CIRCLE('',#20183,0.08); +#422=CIRCLE('',#20187,0.08); +#423=CIRCLE('',#20190,0.08); +#424=CIRCLE('',#20196,0.08); +#425=CIRCLE('',#20198,0.08); +#426=CIRCLE('',#20200,0.08); +#427=CIRCLE('',#20202,0.08); +#428=CIRCLE('',#20204,0.08); +#429=CIRCLE('',#20206,0.08); +#430=CIRCLE('',#20212,0.08); +#431=CIRCLE('',#20214,0.08); +#432=CIRCLE('',#20216,0.16); +#433=CIRCLE('',#20217,0.16); +#434=CIRCLE('',#20218,0.64875); +#435=CIRCLE('',#20219,0.16); +#436=CIRCLE('',#20220,0.16); +#437=CIRCLE('',#20221,0.64875); +#438=CIRCLE('',#20223,0.16); +#439=CIRCLE('',#20224,0.821666666666667); +#440=CIRCLE('',#20225,0.16); +#441=CIRCLE('',#20226,0.16); +#442=CIRCLE('',#20227,0.821666666666667); +#443=CIRCLE('',#20228,0.16); +#444=CIRCLE('',#20231,0.08); +#445=CIRCLE('',#20232,0.08); +#446=CIRCLE('',#20236,0.08); +#447=CIRCLE('',#20237,0.08); +#448=CIRCLE('',#20242,0.08); +#449=CIRCLE('',#20244,0.08); +#450=CIRCLE('',#20246,0.08); +#451=CIRCLE('',#20248,0.08); +#452=CIRCLE('',#20250,0.08); +#453=CIRCLE('',#20252,0.08); +#454=CIRCLE('',#20254,0.08); +#455=CIRCLE('',#20256,0.08); +#456=CIRCLE('',#20258,0.16); +#457=CIRCLE('',#20259,0.16); +#458=CIRCLE('',#20260,0.821666666666667); +#459=CIRCLE('',#20261,0.16); +#460=CIRCLE('',#20262,0.16); +#461=CIRCLE('',#20263,0.821666666666667); +#462=CIRCLE('',#20265,0.16); +#463=CIRCLE('',#20266,0.64875); +#464=CIRCLE('',#20267,0.16); +#465=CIRCLE('',#20268,0.16); +#466=CIRCLE('',#20269,0.64875); +#467=CIRCLE('',#20270,0.16); +#468=CIRCLE('',#20273,0.08); +#469=CIRCLE('',#20276,0.08); +#470=CIRCLE('',#20280,0.08); +#471=CIRCLE('',#20283,0.08); +#472=CIRCLE('',#20287,0.08); +#473=CIRCLE('',#20289,0.08); +#474=CIRCLE('',#20291,0.08); +#475=CIRCLE('',#20293,0.08); +#476=CIRCLE('',#20295,0.08); +#477=CIRCLE('',#20297,0.08); +#478=CIRCLE('',#20305,0.08); +#479=CIRCLE('',#20307,0.08); +#480=CIRCLE('',#20309,0.16); +#481=CIRCLE('',#20310,0.16); +#482=CIRCLE('',#20311,0.64875); +#483=CIRCLE('',#20312,0.16); +#484=CIRCLE('',#20313,0.16); +#485=CIRCLE('',#20314,0.64875); +#486=CIRCLE('',#20316,0.16); +#487=CIRCLE('',#20317,0.648750000000001); +#488=CIRCLE('',#20318,0.16); +#489=CIRCLE('',#20319,0.16); +#490=CIRCLE('',#20320,0.648750000000001); +#491=CIRCLE('',#20321,0.16); +#492=CIRCLE('',#20324,0.08); +#493=CIRCLE('',#20325,0.08); +#494=CIRCLE('',#20329,0.08); +#495=CIRCLE('',#20330,0.08); +#496=CIRCLE('',#20339,0.08); +#497=CIRCLE('',#20341,0.08); +#498=CIRCLE('',#20343,0.08); +#499=CIRCLE('',#20345,0.08); +#500=CIRCLE('',#20347,0.08); +#501=CIRCLE('',#20349,0.08); +#502=CIRCLE('',#20351,0.08); +#503=CIRCLE('',#20353,0.08); +#504=CIRCLE('',#20355,0.16); +#505=CIRCLE('',#20356,0.16); +#506=CIRCLE('',#20357,0.648750000000001); +#507=CIRCLE('',#20358,0.16); +#508=CIRCLE('',#20359,0.16); +#509=CIRCLE('',#20360,0.648750000000001); +#510=CIRCLE('',#20362,0.16); +#511=CIRCLE('',#20363,0.64875); +#512=CIRCLE('',#20364,0.16); +#513=CIRCLE('',#20365,0.16); +#514=CIRCLE('',#20366,0.64875); +#515=CIRCLE('',#20367,0.16); +#516=CIRCLE('',#20370,0.08); +#517=CIRCLE('',#20373,0.08); +#518=CIRCLE('',#20377,0.08); +#519=CIRCLE('',#20380,0.08); +#520=CIRCLE('',#20386,0.08); +#521=CIRCLE('',#20388,0.08); +#522=CIRCLE('',#20390,0.08); +#523=CIRCLE('',#20392,0.08); +#524=CIRCLE('',#20394,0.08); +#525=CIRCLE('',#20396,0.08); +#526=CIRCLE('',#20417,0.07); +#527=CIRCLE('',#20418,0.07); +#528=CIRCLE('',#20419,0.07); +#529=CIRCLE('',#20420,0.07); +#530=CIRCLE('',#20422,0.07); +#531=CIRCLE('',#20423,0.07); +#532=CIRCLE('',#20424,0.07); +#533=CIRCLE('',#20425,0.07); +#534=CIRCLE('',#20427,0.05); +#535=CIRCLE('',#20428,0.05); +#536=CIRCLE('',#20430,0.05); +#537=CIRCLE('',#20431,0.0500000000000001); +#538=CIRCLE('',#20433,0.0500000000000001); +#539=CIRCLE('',#20434,0.05); +#540=CIRCLE('',#20438,0.05); +#541=CIRCLE('',#20440,0.05); +#542=CIRCLE('',#20441,0.05); +#543=CIRCLE('',#20443,0.05); +#544=CIRCLE('',#20447,0.0500000000000001); +#545=CIRCLE('',#20449,0.0500000000000001); +#546=CIRCLE('',#20451,0.05); +#547=CIRCLE('',#20453,0.0500000000000001); +#548=CIRCLE('',#20455,0.05); +#549=CIRCLE('',#20456,0.05); +#550=CIRCLE('',#20458,0.05); +#551=CIRCLE('',#20464,0.05); +#552=CIRCLE('',#20466,0.05); +#553=CIRCLE('',#20468,0.0500000000000001); +#554=CIRCLE('',#20470,0.0500000000000001); +#555=CIRCLE('',#20472,0.05); +#556=CIRCLE('',#20474,0.05); +#557=CIRCLE('',#20476,0.05); +#558=CIRCLE('',#20489,0.07); +#559=CIRCLE('',#20490,0.07); +#560=CIRCLE('',#20491,0.07); +#561=CIRCLE('',#20492,0.07); +#562=CIRCLE('',#20494,0.07); +#563=CIRCLE('',#20495,0.07); +#564=CIRCLE('',#20496,0.07); +#565=CIRCLE('',#20497,0.07); +#566=CIRCLE('',#20509,0.07); +#567=CIRCLE('',#20510,0.07); +#568=CIRCLE('',#20511,0.07); +#569=CIRCLE('',#20512,0.07); +#570=CIRCLE('',#20514,0.07); +#571=CIRCLE('',#20515,0.07); +#572=CIRCLE('',#20516,0.07); +#573=CIRCLE('',#20517,0.07); +#574=CIRCLE('',#20556,0.05); +#575=CIRCLE('',#20557,0.05); +#576=CIRCLE('',#20559,0.0499999999999999); +#577=CIRCLE('',#20560,0.0500000000000001); +#578=CIRCLE('',#20562,0.05); +#579=CIRCLE('',#20563,0.05); +#580=CIRCLE('',#20567,0.05); +#581=CIRCLE('',#20569,0.05); +#582=CIRCLE('',#20570,0.05); +#583=CIRCLE('',#20572,0.05); +#584=CIRCLE('',#20576,0.0500000000000001); +#585=CIRCLE('',#20578,0.0500000000000001); +#586=CIRCLE('',#20580,0.05); +#587=CIRCLE('',#20582,0.0500000000000001); +#588=CIRCLE('',#20584,0.05); +#589=CIRCLE('',#20585,0.05); +#590=CIRCLE('',#20587,0.0499999999999999); +#591=CIRCLE('',#20593,0.05); +#592=CIRCLE('',#20595,0.05); +#593=CIRCLE('',#20597,0.0500000000000001); +#594=CIRCLE('',#20599,0.0500000000000001); +#595=CIRCLE('',#20601,0.0499999999999999); +#596=CIRCLE('',#20603,0.05); +#597=CIRCLE('',#20605,0.05); +#598=CIRCLE('',#20615,0.05); +#599=CIRCLE('',#20616,0.05); +#600=CIRCLE('',#20617,0.05); +#601=CIRCLE('',#20618,0.05); +#602=CIRCLE('',#20620,0.05); +#603=CIRCLE('',#20621,0.05); +#604=CIRCLE('',#20622,0.05); +#605=CIRCLE('',#20623,0.05); +#606=CIRCLE('',#20636,0.05); +#607=CIRCLE('',#20637,0.05); +#608=CIRCLE('',#20638,0.05); +#609=CIRCLE('',#20639,0.05); +#610=CIRCLE('',#20641,0.05); +#611=CIRCLE('',#20642,0.05); +#612=CIRCLE('',#20643,0.05); +#613=CIRCLE('',#20644,0.05); +#614=CIRCLE('',#20653,0.05); +#615=CIRCLE('',#20654,0.05); +#616=CIRCLE('',#20655,0.05); +#617=CIRCLE('',#20656,0.05); +#618=CIRCLE('',#20658,0.05); +#619=CIRCLE('',#20659,0.05); +#620=CIRCLE('',#20660,0.05); +#621=CIRCLE('',#20661,0.05); +#622=CIRCLE('',#20678,0.05); +#623=CIRCLE('',#20679,0.05); +#624=CIRCLE('',#20680,0.05); +#625=CIRCLE('',#20681,0.05); +#626=CIRCLE('',#20683,0.05); +#627=CIRCLE('',#20684,0.05); +#628=CIRCLE('',#20685,0.05); +#629=CIRCLE('',#20686,0.05); +#630=CIRCLE('',#20699,0.05); +#631=CIRCLE('',#20700,0.05); +#632=CIRCLE('',#20701,0.05); +#633=CIRCLE('',#20702,0.05); +#634=CIRCLE('',#20704,0.05); +#635=CIRCLE('',#20705,0.05); +#636=CIRCLE('',#20706,0.05); +#637=CIRCLE('',#20707,0.05); +#638=CIRCLE('',#20716,0.05); +#639=CIRCLE('',#20717,0.05); +#640=CIRCLE('',#20718,0.05); +#641=CIRCLE('',#20719,0.05); +#642=CIRCLE('',#20721,0.05); +#643=CIRCLE('',#20722,0.05); +#644=CIRCLE('',#20723,0.05); +#645=CIRCLE('',#20724,0.05); +#646=CIRCLE('',#20734,0.499999411404133); +#647=CIRCLE('',#20735,0.4); +#648=CIRCLE('',#20736,0.4); +#649=CIRCLE('',#20738,0.499999411404133); +#650=CIRCLE('',#20742,0.4); +#651=CIRCLE('',#20746,0.499999560415745); +#652=CIRCLE('',#20747,0.499999560415745); +#653=CIRCLE('',#20749,0.4); +#654=CIRCLE('',#20750,0.4); +#655=CIRCLE('',#20752,0.499999988824129); +#656=CIRCLE('',#20753,0.4); +#657=CIRCLE('',#20754,0.4); +#658=CIRCLE('',#20756,0.499999988824129); +#659=CIRCLE('',#20758,0.499999988824129); +#660=CIRCLE('',#20759,0.499999988824129); +#661=CIRCLE('',#20761,0.400001218899278); +#662=CIRCLE('',#20762,0.4); +#663=CIRCLE('',#20764,0.499999485909939); +#664=CIRCLE('',#20765,0.499999485909939); +#665=CIRCLE('',#20767,0.4); +#666=CIRCLE('',#20768,0.4); +#667=CIRCLE('',#20770,0.499999988824129); +#668=CIRCLE('',#20771,0.499999988824129); +#669=CIRCLE('',#20773,0.4); +#670=CIRCLE('',#20774,0.4); +#671=CIRCLE('',#20776,0.5); +#672=CIRCLE('',#20777,0.5); +#673=CIRCLE('',#20779,0.4); +#674=CIRCLE('',#20780,0.4); +#675=CIRCLE('',#20782,0.499999988824129); +#676=CIRCLE('',#20783,0.4); +#677=CIRCLE('',#20784,0.4); +#678=CIRCLE('',#20786,0.499999988824129); +#679=CIRCLE('',#20788,0.499999485909939); +#680=CIRCLE('',#20789,0.499999485909939); +#681=CIRCLE('',#20791,0.4); +#682=CIRCLE('',#20792,0.4); +#683=CIRCLE('',#20794,0.499999988824129); +#684=CIRCLE('',#20795,0.4); +#685=CIRCLE('',#20796,0.400001218899277); +#686=CIRCLE('',#20798,0.499999988824129); +#687=CIRCLE('',#20800,0.499999988824129); +#688=CIRCLE('',#20801,0.499999988824129); +#689=CIRCLE('',#20803,0.4); +#690=CIRCLE('',#20804,0.4); +#691=CIRCLE('',#20806,0.499999560415745); +#692=CIRCLE('',#20807,0.4); +#693=CIRCLE('',#20808,0.4); +#694=CIRCLE('',#20810,0.499999560415745); +#695=CIRCLE('',#20812,0.499999988824129); +#696=CIRCLE('',#20813,0.499999988824129); +#697=CIRCLE('',#20815,0.4); +#698=CIRCLE('',#20816,0.4); +#699=CIRCLE('',#20818,0.5); +#700=CIRCLE('',#20819,0.4); +#701=CIRCLE('',#20820,0.4); +#702=CIRCLE('',#20822,0.5); +#703=CIRCLE('',#20824,0.499999988824129); +#704=CIRCLE('',#20825,0.4); +#705=CIRCLE('',#20826,0.4); +#706=CIRCLE('',#20828,0.499999988824129); +#707=CIRCLE('',#20830,0.499999411404133); +#708=CIRCLE('',#20831,0.499999411404133); +#709=CIRCLE('',#20833,0.4); +#710=CIRCLE('',#20834,0.4); +#711=CIRCLE('',#20839,0.4); +#712=CIRCLE('',#20848,0.4); +#713=CIRCLE('',#20854,0.4); +#714=CIRCLE('',#20860,0.4); +#715=CIRCLE('',#20866,0.4); +#716=CIRCLE('',#20871,0.4); +#717=CIRCLE('',#20876,0.4); +#718=CIRCLE('',#20878,0.4); +#719=CIRCLE('',#20880,0.4); +#720=CIRCLE('',#20882,0.4); +#721=CIRCLE('',#20884,0.4); +#722=CIRCLE('',#20886,0.4); +#723=CIRCLE('',#20888,0.4); +#724=CIRCLE('',#20890,0.4); +#725=CIRCLE('',#20892,0.4); +#726=CIRCLE('',#20894,0.4); +#727=CIRCLE('',#20896,0.4); +#728=CIRCLE('',#20898,0.400001218899277); +#729=CIRCLE('',#20900,0.4); +#730=CIRCLE('',#20902,0.4); +#731=CIRCLE('',#20904,0.4); +#732=CIRCLE('',#20906,0.4); +#733=CIRCLE('',#20908,0.4); +#734=CIRCLE('',#20910,0.4); +#735=CIRCLE('',#20912,0.400001218899278); +#736=CIRCLE('',#20914,0.4); +#737=CIRCLE('',#20916,0.4); +#738=CIRCLE('',#20918,0.4); +#739=CIRCLE('',#20920,0.4); +#740=CIRCLE('',#20922,0.4); +#741=CIRCLE('',#20924,0.4); +#742=CIRCLE('',#20961,0.499999988824129); +#743=CIRCLE('',#20962,0.499999988824129); +#744=CIRCLE('',#20966,0.499999485909939); +#745=CIRCLE('',#20967,0.499999485909939); +#746=CIRCLE('',#20971,0.499999560415745); +#747=CIRCLE('',#20972,0.499999560415745); +#748=CIRCLE('',#20976,0.499999988824129); +#749=CIRCLE('',#20977,0.499999988824129); +#750=CIRCLE('',#20980,0.499999988824129); +#751=CIRCLE('',#20981,0.499999988824129); +#752=CIRCLE('',#20986,0.499999485909939); +#753=CIRCLE('',#20987,0.499999485909939); +#754=CIRCLE('',#20991,0.499999560415745); +#755=CIRCLE('',#20992,0.499999560415745); +#756=CIRCLE('',#20996,0.5); +#757=CIRCLE('',#20997,0.5); +#758=CIRCLE('',#21001,0.499999988824129); +#759=CIRCLE('',#21002,0.499999988824129); +#760=CIRCLE('',#21005,0.499999411404133); +#761=CIRCLE('',#21006,0.499999411404133); +#762=CIRCLE('',#21010,0.499999988824129); +#763=CIRCLE('',#21011,0.499999988824129); +#764=CIRCLE('',#21015,0.5); +#765=CIRCLE('',#21016,0.5); +#766=CIRCLE('',#21020,0.499999988824129); +#767=CIRCLE('',#21021,0.499999988824129); +#768=CIRCLE('',#21024,0.499999411404133); +#769=CIRCLE('',#21025,0.499999411404133); +#770=CIRCLE('',#21030,0.499999988824129); +#771=CIRCLE('',#21031,0.499999988824129); +#772=CIRCLE('',#21035,0.499999988824129); +#773=CIRCLE('',#21036,0.499999988824129); +#774=CYLINDRICAL_SURFACE('',#19698,0.18); +#775=CYLINDRICAL_SURFACE('',#19706,0.18); +#776=CYLINDRICAL_SURFACE('',#19714,0.18); +#777=CYLINDRICAL_SURFACE('',#19722,0.18); +#778=CYLINDRICAL_SURFACE('',#19730,0.18); +#779=CYLINDRICAL_SURFACE('',#19738,0.18); +#780=CYLINDRICAL_SURFACE('',#19746,0.18); +#781=CYLINDRICAL_SURFACE('',#19754,0.18); +#782=CYLINDRICAL_SURFACE('',#19762,0.18); +#783=CYLINDRICAL_SURFACE('',#19770,0.18); +#784=CYLINDRICAL_SURFACE('',#19778,0.18); +#785=CYLINDRICAL_SURFACE('',#19786,0.18); +#786=CYLINDRICAL_SURFACE('',#19794,0.18); +#787=CYLINDRICAL_SURFACE('',#19802,0.18); +#788=CYLINDRICAL_SURFACE('',#19810,0.18); +#789=CYLINDRICAL_SURFACE('',#19818,0.18); +#790=CYLINDRICAL_SURFACE('',#19826,0.18); +#791=CYLINDRICAL_SURFACE('',#19834,0.18); +#792=CYLINDRICAL_SURFACE('',#19842,0.18); +#793=CYLINDRICAL_SURFACE('',#19850,0.18); +#794=CYLINDRICAL_SURFACE('',#19858,0.18); +#795=CYLINDRICAL_SURFACE('',#19866,0.18); +#796=CYLINDRICAL_SURFACE('',#19874,0.18); +#797=CYLINDRICAL_SURFACE('',#19882,0.18); +#798=CYLINDRICAL_SURFACE('',#19890,0.18); +#799=CYLINDRICAL_SURFACE('',#19898,0.18); +#800=CYLINDRICAL_SURFACE('',#19906,0.18); +#801=CYLINDRICAL_SURFACE('',#19914,0.18); +#802=CYLINDRICAL_SURFACE('',#19936,0.359633415165462); +#803=CYLINDRICAL_SURFACE('',#19941,0.357648215285278); +#804=CYLINDRICAL_SURFACE('',#19946,0.359053123360262); +#805=CYLINDRICAL_SURFACE('',#19951,0.35); +#806=CYLINDRICAL_SURFACE('',#19954,0.35); +#807=CYLINDRICAL_SURFACE('',#19956,0.35); +#808=CYLINDRICAL_SURFACE('',#19958,0.354400743567264); +#809=CYLINDRICAL_SURFACE('',#19964,0.1); +#810=CYLINDRICAL_SURFACE('',#19971,0.1); +#811=CYLINDRICAL_SURFACE('',#19977,0.1); +#812=CYLINDRICAL_SURFACE('',#19983,0.1); +#813=CYLINDRICAL_SURFACE('',#19991,0.15); +#814=CYLINDRICAL_SURFACE('',#19995,0.15); +#815=CYLINDRICAL_SURFACE('',#19999,0.15); +#816=CYLINDRICAL_SURFACE('',#20004,0.15); +#817=CYLINDRICAL_SURFACE('',#20007,0.35); +#818=CYLINDRICAL_SURFACE('',#20012,0.08); +#819=CYLINDRICAL_SURFACE('',#20015,0.08); +#820=CYLINDRICAL_SURFACE('',#20017,0.08); +#821=CYLINDRICAL_SURFACE('',#20043,0.08); +#822=CYLINDRICAL_SURFACE('',#20046,0.08); +#823=CYLINDRICAL_SURFACE('',#20049,0.08); +#824=CYLINDRICAL_SURFACE('',#20051,0.08); +#825=CYLINDRICAL_SURFACE('',#20053,0.08); +#826=CYLINDRICAL_SURFACE('',#20055,0.08); +#827=CYLINDRICAL_SURFACE('',#20057,0.08); +#828=CYLINDRICAL_SURFACE('',#20059,0.08); +#829=CYLINDRICAL_SURFACE('',#20061,0.08); +#830=CYLINDRICAL_SURFACE('',#20063,0.08); +#831=CYLINDRICAL_SURFACE('',#20093,0.08); +#832=CYLINDRICAL_SURFACE('',#20096,0.08); +#833=CYLINDRICAL_SURFACE('',#20098,0.08); +#834=CYLINDRICAL_SURFACE('',#20100,0.08); +#835=CYLINDRICAL_SURFACE('',#20102,0.08); +#836=CYLINDRICAL_SURFACE('',#20104,0.08); +#837=CYLINDRICAL_SURFACE('',#20106,0.08); +#838=CYLINDRICAL_SURFACE('',#20111,0.08); +#839=CYLINDRICAL_SURFACE('',#20114,0.08); +#840=CYLINDRICAL_SURFACE('',#20116,0.08); +#841=CYLINDRICAL_SURFACE('',#20142,0.08); +#842=CYLINDRICAL_SURFACE('',#20145,0.08); +#843=CYLINDRICAL_SURFACE('',#20148,0.08); +#844=CYLINDRICAL_SURFACE('',#20150,0.08); +#845=CYLINDRICAL_SURFACE('',#20152,0.08); +#846=CYLINDRICAL_SURFACE('',#20154,0.08); +#847=CYLINDRICAL_SURFACE('',#20156,0.08); +#848=CYLINDRICAL_SURFACE('',#20158,0.08); +#849=CYLINDRICAL_SURFACE('',#20160,0.08); +#850=CYLINDRICAL_SURFACE('',#20162,0.08); +#851=CYLINDRICAL_SURFACE('',#20192,0.08); +#852=CYLINDRICAL_SURFACE('',#20195,0.08); +#853=CYLINDRICAL_SURFACE('',#20197,0.08); +#854=CYLINDRICAL_SURFACE('',#20199,0.08); +#855=CYLINDRICAL_SURFACE('',#20201,0.08); +#856=CYLINDRICAL_SURFACE('',#20203,0.08); +#857=CYLINDRICAL_SURFACE('',#20205,0.08); +#858=CYLINDRICAL_SURFACE('',#20210,0.08); +#859=CYLINDRICAL_SURFACE('',#20211,0.08); +#860=CYLINDRICAL_SURFACE('',#20213,0.08); +#861=CYLINDRICAL_SURFACE('',#20239,0.08); +#862=CYLINDRICAL_SURFACE('',#20240,0.08); +#863=CYLINDRICAL_SURFACE('',#20241,0.08); +#864=CYLINDRICAL_SURFACE('',#20243,0.08); +#865=CYLINDRICAL_SURFACE('',#20245,0.08); +#866=CYLINDRICAL_SURFACE('',#20247,0.08); +#867=CYLINDRICAL_SURFACE('',#20249,0.08); +#868=CYLINDRICAL_SURFACE('',#20251,0.08); +#869=CYLINDRICAL_SURFACE('',#20253,0.08); +#870=CYLINDRICAL_SURFACE('',#20255,0.08); +#871=CYLINDRICAL_SURFACE('',#20285,0.08); +#872=CYLINDRICAL_SURFACE('',#20286,0.08); +#873=CYLINDRICAL_SURFACE('',#20288,0.08); +#874=CYLINDRICAL_SURFACE('',#20290,0.08); +#875=CYLINDRICAL_SURFACE('',#20292,0.08); +#876=CYLINDRICAL_SURFACE('',#20294,0.08); +#877=CYLINDRICAL_SURFACE('',#20296,0.08); +#878=CYLINDRICAL_SURFACE('',#20301,0.08); +#879=CYLINDRICAL_SURFACE('',#20304,0.08); +#880=CYLINDRICAL_SURFACE('',#20306,0.08); +#881=CYLINDRICAL_SURFACE('',#20332,0.08); +#882=CYLINDRICAL_SURFACE('',#20335,0.08); +#883=CYLINDRICAL_SURFACE('',#20338,0.08); +#884=CYLINDRICAL_SURFACE('',#20340,0.08); +#885=CYLINDRICAL_SURFACE('',#20342,0.08); +#886=CYLINDRICAL_SURFACE('',#20344,0.08); +#887=CYLINDRICAL_SURFACE('',#20346,0.08); +#888=CYLINDRICAL_SURFACE('',#20348,0.08); +#889=CYLINDRICAL_SURFACE('',#20350,0.08); +#890=CYLINDRICAL_SURFACE('',#20352,0.08); +#891=CYLINDRICAL_SURFACE('',#20382,0.08); +#892=CYLINDRICAL_SURFACE('',#20385,0.08); +#893=CYLINDRICAL_SURFACE('',#20387,0.08); +#894=CYLINDRICAL_SURFACE('',#20389,0.08); +#895=CYLINDRICAL_SURFACE('',#20391,0.08); +#896=CYLINDRICAL_SURFACE('',#20393,0.08); +#897=CYLINDRICAL_SURFACE('',#20395,0.08); +#898=CYLINDRICAL_SURFACE('',#20426,0.05); +#899=CYLINDRICAL_SURFACE('',#20435,0.0500000000000001); +#900=CYLINDRICAL_SURFACE('',#20437,0.05); +#901=CYLINDRICAL_SURFACE('',#20439,0.05); +#902=CYLINDRICAL_SURFACE('',#20442,0.05); +#903=CYLINDRICAL_SURFACE('',#20444,0.0500000000000001); +#904=CYLINDRICAL_SURFACE('',#20446,0.0500000000000001); +#905=CYLINDRICAL_SURFACE('',#20452,0.0500000000000001); +#906=CYLINDRICAL_SURFACE('',#20454,0.05); +#907=CYLINDRICAL_SURFACE('',#20459,0.0500000000000001); +#908=CYLINDRICAL_SURFACE('',#20461,0.0500000000000001); +#909=CYLINDRICAL_SURFACE('',#20465,0.05); +#910=CYLINDRICAL_SURFACE('',#20467,0.0500000000000001); +#911=CYLINDRICAL_SURFACE('',#20469,0.0500000000000001); +#912=CYLINDRICAL_SURFACE('',#20471,0.05); +#913=CYLINDRICAL_SURFACE('',#20477,0.05); +#914=CYLINDRICAL_SURFACE('',#20478,0.07); +#915=CYLINDRICAL_SURFACE('',#20479,0.07); +#916=CYLINDRICAL_SURFACE('',#20480,0.07); +#917=CYLINDRICAL_SURFACE('',#20481,0.07); +#918=CYLINDRICAL_SURFACE('',#20498,0.07); +#919=CYLINDRICAL_SURFACE('',#20499,0.07); +#920=CYLINDRICAL_SURFACE('',#20500,0.07); +#921=CYLINDRICAL_SURFACE('',#20501,0.07); +#922=CYLINDRICAL_SURFACE('',#20518,0.07); +#923=CYLINDRICAL_SURFACE('',#20519,0.07); +#924=CYLINDRICAL_SURFACE('',#20520,0.07); +#925=CYLINDRICAL_SURFACE('',#20521,0.07); +#926=CYLINDRICAL_SURFACE('',#20555,0.05); +#927=CYLINDRICAL_SURFACE('',#20564,0.0500000000000001); +#928=CYLINDRICAL_SURFACE('',#20566,0.05); +#929=CYLINDRICAL_SURFACE('',#20568,0.05); +#930=CYLINDRICAL_SURFACE('',#20571,0.05); +#931=CYLINDRICAL_SURFACE('',#20573,0.0500000000000001); +#932=CYLINDRICAL_SURFACE('',#20575,0.0500000000000001); +#933=CYLINDRICAL_SURFACE('',#20581,0.0500000000000001); +#934=CYLINDRICAL_SURFACE('',#20583,0.05); +#935=CYLINDRICAL_SURFACE('',#20588,0.0500000000000001); +#936=CYLINDRICAL_SURFACE('',#20590,0.0500000000000001); +#937=CYLINDRICAL_SURFACE('',#20594,0.0499999999999999); +#938=CYLINDRICAL_SURFACE('',#20596,0.0500000000000001); +#939=CYLINDRICAL_SURFACE('',#20598,0.0500000000000001); +#940=CYLINDRICAL_SURFACE('',#20600,0.0499999999999999); +#941=CYLINDRICAL_SURFACE('',#20606,0.05); +#942=CYLINDRICAL_SURFACE('',#20624,0.05); +#943=CYLINDRICAL_SURFACE('',#20625,0.05); +#944=CYLINDRICAL_SURFACE('',#20626,0.05); +#945=CYLINDRICAL_SURFACE('',#20627,0.05); +#946=CYLINDRICAL_SURFACE('',#20662,0.05); +#947=CYLINDRICAL_SURFACE('',#20663,0.05); +#948=CYLINDRICAL_SURFACE('',#20664,0.05); +#949=CYLINDRICAL_SURFACE('',#20665,0.05); +#950=CYLINDRICAL_SURFACE('',#20666,0.05); +#951=CYLINDRICAL_SURFACE('',#20667,0.05); +#952=CYLINDRICAL_SURFACE('',#20668,0.05); +#953=CYLINDRICAL_SURFACE('',#20669,0.05); +#954=CYLINDRICAL_SURFACE('',#20687,0.05); +#955=CYLINDRICAL_SURFACE('',#20688,0.05); +#956=CYLINDRICAL_SURFACE('',#20689,0.05); +#957=CYLINDRICAL_SURFACE('',#20690,0.05); +#958=CYLINDRICAL_SURFACE('',#20725,0.05); +#959=CYLINDRICAL_SURFACE('',#20726,0.05); +#960=CYLINDRICAL_SURFACE('',#20727,0.05); +#961=CYLINDRICAL_SURFACE('',#20728,0.05); +#962=CYLINDRICAL_SURFACE('',#20729,0.05); +#963=CYLINDRICAL_SURFACE('',#20730,0.05); +#964=CYLINDRICAL_SURFACE('',#20731,0.05); +#965=CYLINDRICAL_SURFACE('',#20732,0.05); +#966=CYLINDRICAL_SURFACE('',#20737,0.499999411404133); +#967=CYLINDRICAL_SURFACE('',#20741,0.4); +#968=CYLINDRICAL_SURFACE('',#20745,0.499999560415745); +#969=CYLINDRICAL_SURFACE('',#20755,0.499999988824129); +#970=CYLINDRICAL_SURFACE('',#20757,0.499999988824129); +#971=CYLINDRICAL_SURFACE('',#20763,0.499999485909939); +#972=CYLINDRICAL_SURFACE('',#20769,0.499999988824129); +#973=CYLINDRICAL_SURFACE('',#20775,0.5); +#974=CYLINDRICAL_SURFACE('',#20785,0.499999988824129); +#975=CYLINDRICAL_SURFACE('',#20787,0.499999485909939); +#976=CYLINDRICAL_SURFACE('',#20797,0.499999988824129); +#977=CYLINDRICAL_SURFACE('',#20799,0.499999988824129); +#978=CYLINDRICAL_SURFACE('',#20809,0.499999560415745); +#979=CYLINDRICAL_SURFACE('',#20811,0.499999988824129); +#980=CYLINDRICAL_SURFACE('',#20821,0.5); +#981=CYLINDRICAL_SURFACE('',#20827,0.499999988824129); +#982=CYLINDRICAL_SURFACE('',#20829,0.499999411404133); +#983=CYLINDRICAL_SURFACE('',#20838,0.4); +#984=CYLINDRICAL_SURFACE('',#20847,0.4); +#985=CYLINDRICAL_SURFACE('',#20853,0.4); +#986=CYLINDRICAL_SURFACE('',#20859,0.4); +#987=CYLINDRICAL_SURFACE('',#20865,0.4); +#988=CYLINDRICAL_SURFACE('',#20870,0.4); +#989=CYLINDRICAL_SURFACE('',#20875,0.4); +#990=CYLINDRICAL_SURFACE('',#20877,0.4); +#991=CYLINDRICAL_SURFACE('',#20879,0.4); +#992=CYLINDRICAL_SURFACE('',#20881,0.4); +#993=CYLINDRICAL_SURFACE('',#20883,0.4); +#994=CYLINDRICAL_SURFACE('',#20885,0.4); +#995=CYLINDRICAL_SURFACE('',#20887,0.4); +#996=CYLINDRICAL_SURFACE('',#20889,0.4); +#997=CYLINDRICAL_SURFACE('',#20891,0.4); +#998=CYLINDRICAL_SURFACE('',#20893,0.4); +#999=CYLINDRICAL_SURFACE('',#20895,0.4); +#1000=CYLINDRICAL_SURFACE('',#20897,0.400001218899277); +#1001=CYLINDRICAL_SURFACE('',#20899,0.4); +#1002=CYLINDRICAL_SURFACE('',#20901,0.4); +#1003=CYLINDRICAL_SURFACE('',#20903,0.4); +#1004=CYLINDRICAL_SURFACE('',#20905,0.4); +#1005=CYLINDRICAL_SURFACE('',#20907,0.4); +#1006=CYLINDRICAL_SURFACE('',#20909,0.4); +#1007=CYLINDRICAL_SURFACE('',#20911,0.400001218899278); +#1008=CYLINDRICAL_SURFACE('',#20913,0.4); +#1009=CYLINDRICAL_SURFACE('',#20915,0.4); +#1010=CYLINDRICAL_SURFACE('',#20917,0.4); +#1011=CYLINDRICAL_SURFACE('',#20919,0.4); +#1012=CYLINDRICAL_SURFACE('',#20921,0.4); +#1013=CYLINDRICAL_SURFACE('',#20923,0.4); +#1014=CYLINDRICAL_SURFACE('',#20960,0.499999988824129); +#1015=CYLINDRICAL_SURFACE('',#20965,0.499999485909939); +#1016=CYLINDRICAL_SURFACE('',#20970,0.499999560415745); +#1017=CYLINDRICAL_SURFACE('',#20975,0.499999988824129); +#1018=CYLINDRICAL_SURFACE('',#20979,0.499999988824129); +#1019=CYLINDRICAL_SURFACE('',#20985,0.499999485909939); +#1020=CYLINDRICAL_SURFACE('',#20990,0.499999560415745); +#1021=CYLINDRICAL_SURFACE('',#20995,0.5); +#1022=CYLINDRICAL_SURFACE('',#21000,0.499999988824129); +#1023=CYLINDRICAL_SURFACE('',#21004,0.499999411404133); +#1024=CYLINDRICAL_SURFACE('',#21009,0.499999988824129); +#1025=CYLINDRICAL_SURFACE('',#21014,0.5); +#1026=CYLINDRICAL_SURFACE('',#21019,0.499999988824129); +#1027=CYLINDRICAL_SURFACE('',#21023,0.499999411404133); +#1028=CYLINDRICAL_SURFACE('',#21029,0.499999988824129); +#1029=CYLINDRICAL_SURFACE('',#21034,0.499999988824129); +#1030=MANIFOLD_SOLID_BREP('Body1',#19209); +#1031=MANIFOLD_SOLID_BREP('Body2',#19210); +#1032=MANIFOLD_SOLID_BREP('Body3',#19211); +#1033=MANIFOLD_SOLID_BREP('Body4',#19212); +#1034=MANIFOLD_SOLID_BREP('Body5',#19213); +#1035=MANIFOLD_SOLID_BREP('Body6',#19214); +#1036=MANIFOLD_SOLID_BREP('Body7',#19215); +#1037=MANIFOLD_SOLID_BREP('Body8',#19216); +#1038=MANIFOLD_SOLID_BREP('Body9',#19217); +#1039=MANIFOLD_SOLID_BREP('Body10',#19218); +#1040=MANIFOLD_SOLID_BREP('Body11',#19219); +#1041=MANIFOLD_SOLID_BREP('Body12',#19220); +#1042=MANIFOLD_SOLID_BREP('Body13',#19221); +#1043=MANIFOLD_SOLID_BREP('Body14',#19222); +#1044=MANIFOLD_SOLID_BREP('Body15',#19223); +#1045=MANIFOLD_SOLID_BREP('Body16',#19224); +#1046=MANIFOLD_SOLID_BREP('Body17',#19225); +#1047=MANIFOLD_SOLID_BREP('Body18',#19226); +#1048=MANIFOLD_SOLID_BREP('Body19',#19227); +#1049=MANIFOLD_SOLID_BREP('Body20',#19228); +#1050=MANIFOLD_SOLID_BREP('Body21',#19229); +#1051=MANIFOLD_SOLID_BREP('Body22',#19230); +#1052=MANIFOLD_SOLID_BREP('Body23',#19231); +#1053=MANIFOLD_SOLID_BREP('Body24',#19232); +#1054=MANIFOLD_SOLID_BREP('Body25',#19233); +#1055=MANIFOLD_SOLID_BREP('Body26',#19234); +#1056=MANIFOLD_SOLID_BREP('Body27',#19235); +#1057=MANIFOLD_SOLID_BREP('Body28',#19236); +#1058=MANIFOLD_SOLID_BREP('Body29',#19237); +#1059=MANIFOLD_SOLID_BREP('Body30',#19238); +#1060=MANIFOLD_SOLID_BREP('Body31',#19239); +#1061=MANIFOLD_SOLID_BREP('Body32',#19240); +#1062=MANIFOLD_SOLID_BREP('Body33',#19241); +#1063=MANIFOLD_SOLID_BREP('Body34',#19242); +#1064=MANIFOLD_SOLID_BREP('Body35',#19243); +#1065=MANIFOLD_SOLID_BREP('Body36',#19244); +#1066=MANIFOLD_SOLID_BREP('Body37',#19245); +#1067=MANIFOLD_SOLID_BREP('Body38',#19246); +#1068=MANIFOLD_SOLID_BREP('Body39',#19247); +#1069=MANIFOLD_SOLID_BREP('Body40',#19248); +#1070=MANIFOLD_SOLID_BREP('Body41',#19249); +#1071=MANIFOLD_SOLID_BREP('Body42',#19250); +#1072=MANIFOLD_SOLID_BREP('Body43',#19251); +#1073=MANIFOLD_SOLID_BREP('Body44',#19252); +#1074=MANIFOLD_SOLID_BREP('Body45',#19253); +#1075=MANIFOLD_SOLID_BREP('Body46',#19254); +#1076=MANIFOLD_SOLID_BREP('Body47',#19255); +#1077=MANIFOLD_SOLID_BREP('Body48',#19256); +#1078=MANIFOLD_SOLID_BREP('Body49',#19257); +#1079=MANIFOLD_SOLID_BREP('Body50',#19258); +#1080=MANIFOLD_SOLID_BREP('Body51',#19259); +#1081=MANIFOLD_SOLID_BREP('Body52',#19260); +#1082=MANIFOLD_SOLID_BREP('Body53',#19261); +#1083=MANIFOLD_SOLID_BREP('Body54',#19262); +#1084=MANIFOLD_SOLID_BREP('Body55',#19263); +#1085=MANIFOLD_SOLID_BREP('Body56',#19264); +#1086=MANIFOLD_SOLID_BREP('Body57',#19265); +#1087=MANIFOLD_SOLID_BREP('Body58',#19266); +#1088=MANIFOLD_SOLID_BREP('Body1',#19267); +#1089=MANIFOLD_SOLID_BREP('Body1',#19268); +#1090=MANIFOLD_SOLID_BREP('Body1',#19269); +#1091=MANIFOLD_SOLID_BREP('Body1',#19270); +#1092=MANIFOLD_SOLID_BREP('Body1',#19271); +#1093=MANIFOLD_SOLID_BREP('Body1',#19272); +#1094=MANIFOLD_SOLID_BREP('Body1',#19273); +#1095=MANIFOLD_SOLID_BREP('Body1',#19274); +#1096=MANIFOLD_SOLID_BREP('Body1',#19275); +#1097=ELLIPSE('',#19385,0.119999996099548,0.0843749972574944); +#1098=ELLIPSE('',#19386,0.120086126751172,0.0844355578719178); +#1099=ELLIPSE('',#20013,0.0817625890430238,0.080000828629679); +#1100=ELLIPSE('',#20014,0.081762568193669,0.0800008286714269); +#1101=ELLIPSE('',#20044,0.0817625891323767,0.0800008286547314); +#1102=ELLIPSE('',#20045,0.0817623350417753,0.0800007436574703); +#1103=ELLIPSE('',#20047,0.0817623267783845,0.0800007436459802); +#1104=ELLIPSE('',#20048,0.0817623478332438,0.0800007436274768); +#1105=ELLIPSE('',#20094,0.0817625891981316,0.0800008286548901); +#1106=ELLIPSE('',#20095,0.0817625075870409,0.0800008015915493); +#1107=ELLIPSE('',#20112,0.0817625890430238,0.080000828629679); +#1108=ELLIPSE('',#20113,0.081762568193669,0.0800008286714269); +#1109=ELLIPSE('',#20143,0.0817625891323767,0.0800008286547314); +#1110=ELLIPSE('',#20144,0.0817623350417753,0.0800007436574703); +#1111=ELLIPSE('',#20146,0.0817623267783845,0.0800007436459802); +#1112=ELLIPSE('',#20147,0.0817623478332438,0.0800007436274768); +#1113=ELLIPSE('',#20193,0.0817625891981316,0.0800008286548901); +#1114=ELLIPSE('',#20194,0.0817625075870409,0.0800008015915493); +#1115=ELLIPSE('',#20302,0.0817625890430238,0.080000828629679); +#1116=ELLIPSE('',#20303,0.081762568193669,0.0800008286714269); +#1117=ELLIPSE('',#20333,0.0817625891323767,0.0800008286547314); +#1118=ELLIPSE('',#20334,0.0817623350417753,0.0800007436574703); +#1119=ELLIPSE('',#20336,0.0817623267783845,0.0800007436459802); +#1120=ELLIPSE('',#20337,0.0817623478332438,0.0800007436274768); +#1121=ELLIPSE('',#20383,0.0817625891981316,0.0800008286548901); +#1122=ELLIPSE('',#20384,0.0817625075870409,0.0800008015915493); +#1123=ELLIPSE('',#20436,0.0503812597225175,0.0500000000000001); +#1124=ELLIPSE('',#20445,0.0503812597225175,0.0500000000000001); +#1125=ELLIPSE('',#20460,0.0503812597225175,0.05); +#1126=ELLIPSE('',#20462,0.0503812597225176,0.0500000000000001); +#1127=ELLIPSE('',#20565,0.0503812597225175,0.0500000000000001); +#1128=ELLIPSE('',#20574,0.0503812597225175,0.05); +#1129=ELLIPSE('',#20589,0.0503812597225175,0.0500000000000001); +#1130=ELLIPSE('',#20591,0.0503812597225175,0.0500000000000001); +#1131=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(2,3,((#26787,#26788,#26789,#26790,#26791,#26792,#26793, +#26794,#26795,#26796,#26797),(#26798,#26799,#26800,#26801,#26802,#26803, +#26804,#26805,#26806,#26807,#26808),(#26809,#26810,#26811,#26812,#26813, +#26814,#26815,#26816,#26817,#26818,#26819)),.UNSPECIFIED.,.F.,.T.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((3,3),(4,1,1,1,1,1,1,1,4),(0.,0.157079639196799), +(0.,0.11780674871052,0.235613497421041,0.353420242484218,0.471226987547396, +0.589033732610334,0.706840477673272,0.824647226383793,0.942453975094314), + .UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.),(0.707106762212634, +0.707106771233633,0.707106793975024,0.707106749847172,0.707106796849587, +0.707106748509822,0.707106796256386,0.707106751630648,0.707106790312725, +0.707106772958874,0.707106762212634),(1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#1132=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(2,3,((#27298,#27299,#27300,#27301,#27302,#27303,#27304, +#27305,#27306,#27307,#27308),(#27309,#27310,#27311,#27312,#27313,#27314, +#27315,#27316,#27317,#27318,#27319),(#27320,#27321,#27322,#27323,#27324, +#27325,#27326,#27327,#27328,#27329,#27330)),.UNSPECIFIED.,.F.,.T.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((3,3),(4,1,1,1,1,1,1,1,4),(0.,0.157079639196799), +(0.,0.11780674871052,0.235613497421041,0.353420242484218,0.471226987547396, +0.589033732610334,0.706840477673272,0.824647226383793,0.942453975094314), + .UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.),(0.707106762212634, +0.707106771233633,0.707106793975024,0.707106749847172,0.707106796849587, +0.707106748509822,0.707106796256386,0.707106751630648,0.707106790312725, +0.707106772958874,0.707106762212634),(1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#1133=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(2,2,((#29009,#29010,#29011),(#29012,#29013,#29014),(#29015, +#29016,#29017)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.411516846067461,1.57079632679491), +(1.57079632679493,3.1415926535898),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186555,1.),(0.836660026534062, +0.591607978309958,0.836660026534062),(1.,0.707106781186555,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#1134=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(2,2,((#29027,#29028,#29029),(#29030,#29031,#29032),(#29033, +#29034,#29035)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.411516846067216,1.57079632679475), +(-3.14159265358968,-1.57079632679501),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186626,1.),(0.836660026534045, +0.591607978310006,0.836660026534045),(1.,0.707106781186626,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#1135=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(2,2,((#29055,#29056,#29057),(#29058,#29059,#29060),(#29061, +#29062,#29063)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.4115168460675,1.57079632679493), +(2.73592559496678E-14,1.57079632679486),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,0.70710678118657,1.),(0.836660026534068, +0.591607978309975,0.836660026534068),(1.,0.70710678118657,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#1136=( +BOUNDED_SURFACE() +B_SPLINE_SURFACE(2,2,((#29069,#29070,#29071),(#29072,#29073,#29074),(#29075, +#29076,#29077)),.UNSPECIFIED.,.F.,.F.,.F.) +B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.411516846067491,1.57079632679499), +(-1.5707963267951,-2.35296319706588E-14),.UNSPECIFIED.) +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186484,1.),(0.83666002653405, +0.591607978309891,0.83666002653405),(1.,0.707106781186484,1.))) +REPRESENTATION_ITEM('') +SURFACE() +); +#1137=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#26748,#26749,#26750,#26751,#26752,#26753,#26754,#26755, +#26756,#26757,#26758),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,3,1,3,4),(0.,0.11780674871052,0.235613497421041, +0.353420242484218,0.471226987547396),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,0.954035467313278,0.910183673998715,0.868347501959104, +0.868347501208773,0.868347487985427,0.868347502070164,0.868347501969976, +0.910183672755587,0.954035463287647,1.)) +REPRESENTATION_ITEM('') +); +#1138=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#26759,#26760,#26761,#26762,#26763,#26764,#26765,#26766, +#26767,#26768,#26769),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,3,1,3,4),(0.471226987547396,0.589033732610334, +0.706840477673272,0.824647226383793,0.942453975094314),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,0.954035463189997,0.910183672569263,0.868347501925827, +0.868347502159623,0.868347488787083,0.868347500378546,0.868347501326318, +0.910183673542396,0.95403546816528,1.)) +REPRESENTATION_ITEM('') +); +#1139=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#26773,#26774,#26775,#26776,#26777,#26778,#26779), + .UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,1,1,1,4),(-0.942453975094314,-0.824647226383793, +-0.706840477673272,-0.589033732610334,-0.471226987547396),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,0.999999999999998,1.,1.,1.,1.)) +REPRESENTATION_ITEM('') +); +#1140=( +BOUNDED_CURVE() +B_SPLINE_CURVE(3,(#26780,#26781,#26782,#26783,#26784,#26785,#26786), + .UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((4,1,1,1,4),(-0.471226987547396,-0.353420242484218, +-0.235613497421041,-0.11780674871052,0.),.UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((1.,1.,1.,0.999999999999998,1.,0.999999999999999, +1.)) +REPRESENTATION_ITEM('') +); +#1141=FACE_BOUND('',#2296,.T.); +#1142=FACE_BOUND('',#2297,.T.); +#1143=FACE_BOUND('',#2298,.T.); +#1144=FACE_BOUND('',#2299,.T.); +#1145=FACE_BOUND('',#2300,.T.); +#1146=FACE_BOUND('',#2301,.T.); +#1147=FACE_BOUND('',#2302,.T.); +#1148=FACE_BOUND('',#2304,.T.); +#1149=FACE_BOUND('',#2305,.T.); +#1150=FACE_BOUND('',#2306,.T.); +#1151=FACE_BOUND('',#2307,.T.); +#1152=FACE_BOUND('',#2308,.T.); +#1153=FACE_BOUND('',#2309,.T.); +#1154=FACE_BOUND('',#2310,.T.); +#1155=FACE_BOUND('',#2312,.T.); +#1156=FACE_BOUND('',#2313,.T.); +#1157=FACE_BOUND('',#2314,.T.); +#1158=FACE_BOUND('',#2315,.T.); +#1159=FACE_BOUND('',#2316,.T.); +#1160=FACE_BOUND('',#2317,.T.); +#1161=FACE_BOUND('',#2318,.T.); +#1162=FACE_BOUND('',#2320,.T.); +#1163=FACE_BOUND('',#2321,.T.); +#1164=FACE_BOUND('',#2322,.T.); +#1165=FACE_BOUND('',#2323,.T.); +#1166=FACE_BOUND('',#2324,.T.); +#1167=FACE_BOUND('',#2325,.T.); +#1168=FACE_BOUND('',#2326,.T.); +#1169=FACE_BOUND('',#2329,.T.); +#1170=FACE_BOUND('',#2851,.T.); +#1171=FACE_BOUND('',#3108,.T.); +#1172=FACE_BOUND('',#3117,.T.); +#1173=FACE_BOUND('',#3119,.T.); +#1174=FACE_BOUND('',#3236,.T.); +#1175=FACE_BOUND('',#3245,.T.); +#1176=FACE_BOUND('',#3247,.T.); +#1177=FACE_BOUND('',#3251,.T.); +#1178=FACE_BOUND('',#3254,.T.); +#1179=FACE_BOUND('',#3257,.T.); +#1180=FACE_BOUND('',#3260,.T.); +#1181=FACE_BOUND('',#3262,.T.); +#1182=FACE_BOUND('',#3266,.T.); +#1183=FACE_BOUND('',#3268,.T.); +#1184=FACE_BOUND('',#3272,.T.); +#1185=FACE_BOUND('',#3274,.T.); +#1186=FACE_BOUND('',#3278,.T.); +#1187=FACE_BOUND('',#3280,.T.); +#1188=FACE_BOUND('',#3283,.T.); +#1189=FACE_BOUND('',#3287,.T.); +#1190=FACE_BOUND('',#3384,.T.); +#1191=FACE_BOUND('',#3388,.T.); +#1192=FACE_BOUND('',#3392,.T.); +#1193=FACE_BOUND('',#3396,.T.); +#1194=FACE_BOUND('',#3400,.T.); +#1195=FACE_BOUND('',#3404,.T.); +#1196=FACE_BOUND('',#3408,.T.); +#1197=FACE_BOUND('',#3412,.T.); +#1198=FACE_BOUND('',#3416,.T.); +#1199=FACE_BOUND('',#3419,.T.); +#1200=FACE_BOUND('',#3423,.T.); +#1201=FACE_BOUND('',#3427,.T.); +#1202=FACE_BOUND('',#3431,.T.); +#1203=FACE_BOUND('',#3435,.T.); +#1204=FACE_BOUND('',#3439,.T.); +#1205=FACE_BOUND('',#3443,.T.); +#1206=FACE_OUTER_BOUND('',#2295,.T.); +#1207=FACE_OUTER_BOUND('',#2303,.T.); +#1208=FACE_OUTER_BOUND('',#2311,.T.); +#1209=FACE_OUTER_BOUND('',#2319,.T.); +#1210=FACE_OUTER_BOUND('',#2327,.T.); +#1211=FACE_OUTER_BOUND('',#2328,.T.); +#1212=FACE_OUTER_BOUND('',#2330,.T.); +#1213=FACE_OUTER_BOUND('',#2331,.T.); +#1214=FACE_OUTER_BOUND('',#2332,.T.); +#1215=FACE_OUTER_BOUND('',#2333,.T.); +#1216=FACE_OUTER_BOUND('',#2334,.T.); +#1217=FACE_OUTER_BOUND('',#2335,.T.); +#1218=FACE_OUTER_BOUND('',#2336,.T.); +#1219=FACE_OUTER_BOUND('',#2337,.T.); +#1220=FACE_OUTER_BOUND('',#2338,.T.); +#1221=FACE_OUTER_BOUND('',#2339,.T.); +#1222=FACE_OUTER_BOUND('',#2340,.T.); +#1223=FACE_OUTER_BOUND('',#2341,.T.); +#1224=FACE_OUTER_BOUND('',#2342,.T.); +#1225=FACE_OUTER_BOUND('',#2343,.T.); +#1226=FACE_OUTER_BOUND('',#2344,.T.); +#1227=FACE_OUTER_BOUND('',#2345,.T.); +#1228=FACE_OUTER_BOUND('',#2346,.T.); +#1229=FACE_OUTER_BOUND('',#2347,.T.); +#1230=FACE_OUTER_BOUND('',#2348,.T.); +#1231=FACE_OUTER_BOUND('',#2349,.T.); +#1232=FACE_OUTER_BOUND('',#2350,.T.); +#1233=FACE_OUTER_BOUND('',#2351,.T.); +#1234=FACE_OUTER_BOUND('',#2352,.T.); +#1235=FACE_OUTER_BOUND('',#2353,.T.); +#1236=FACE_OUTER_BOUND('',#2354,.T.); +#1237=FACE_OUTER_BOUND('',#2355,.T.); +#1238=FACE_OUTER_BOUND('',#2356,.T.); +#1239=FACE_OUTER_BOUND('',#2357,.T.); +#1240=FACE_OUTER_BOUND('',#2358,.T.); +#1241=FACE_OUTER_BOUND('',#2359,.T.); +#1242=FACE_OUTER_BOUND('',#2360,.T.); +#1243=FACE_OUTER_BOUND('',#2361,.T.); +#1244=FACE_OUTER_BOUND('',#2362,.T.); +#1245=FACE_OUTER_BOUND('',#2363,.T.); +#1246=FACE_OUTER_BOUND('',#2364,.T.); +#1247=FACE_OUTER_BOUND('',#2365,.T.); +#1248=FACE_OUTER_BOUND('',#2366,.T.); +#1249=FACE_OUTER_BOUND('',#2367,.T.); +#1250=FACE_OUTER_BOUND('',#2368,.T.); +#1251=FACE_OUTER_BOUND('',#2369,.T.); +#1252=FACE_OUTER_BOUND('',#2370,.T.); +#1253=FACE_OUTER_BOUND('',#2371,.T.); +#1254=FACE_OUTER_BOUND('',#2372,.T.); +#1255=FACE_OUTER_BOUND('',#2373,.T.); +#1256=FACE_OUTER_BOUND('',#2374,.T.); +#1257=FACE_OUTER_BOUND('',#2375,.T.); +#1258=FACE_OUTER_BOUND('',#2376,.T.); +#1259=FACE_OUTER_BOUND('',#2377,.T.); +#1260=FACE_OUTER_BOUND('',#2378,.T.); +#1261=FACE_OUTER_BOUND('',#2379,.T.); +#1262=FACE_OUTER_BOUND('',#2380,.T.); +#1263=FACE_OUTER_BOUND('',#2381,.T.); +#1264=FACE_OUTER_BOUND('',#2382,.T.); +#1265=FACE_OUTER_BOUND('',#2383,.T.); +#1266=FACE_OUTER_BOUND('',#2384,.T.); +#1267=FACE_OUTER_BOUND('',#2385,.T.); +#1268=FACE_OUTER_BOUND('',#2386,.T.); +#1269=FACE_OUTER_BOUND('',#2387,.T.); +#1270=FACE_OUTER_BOUND('',#2388,.T.); +#1271=FACE_OUTER_BOUND('',#2389,.T.); +#1272=FACE_OUTER_BOUND('',#2390,.T.); +#1273=FACE_OUTER_BOUND('',#2391,.T.); +#1274=FACE_OUTER_BOUND('',#2392,.T.); +#1275=FACE_OUTER_BOUND('',#2393,.T.); +#1276=FACE_OUTER_BOUND('',#2394,.T.); +#1277=FACE_OUTER_BOUND('',#2395,.T.); +#1278=FACE_OUTER_BOUND('',#2396,.T.); +#1279=FACE_OUTER_BOUND('',#2397,.T.); +#1280=FACE_OUTER_BOUND('',#2398,.T.); +#1281=FACE_OUTER_BOUND('',#2399,.T.); +#1282=FACE_OUTER_BOUND('',#2400,.T.); +#1283=FACE_OUTER_BOUND('',#2401,.T.); +#1284=FACE_OUTER_BOUND('',#2402,.T.); +#1285=FACE_OUTER_BOUND('',#2403,.T.); +#1286=FACE_OUTER_BOUND('',#2404,.T.); +#1287=FACE_OUTER_BOUND('',#2405,.T.); +#1288=FACE_OUTER_BOUND('',#2406,.T.); +#1289=FACE_OUTER_BOUND('',#2407,.T.); +#1290=FACE_OUTER_BOUND('',#2408,.T.); +#1291=FACE_OUTER_BOUND('',#2409,.T.); +#1292=FACE_OUTER_BOUND('',#2410,.T.); +#1293=FACE_OUTER_BOUND('',#2411,.T.); +#1294=FACE_OUTER_BOUND('',#2412,.T.); +#1295=FACE_OUTER_BOUND('',#2413,.T.); +#1296=FACE_OUTER_BOUND('',#2414,.T.); +#1297=FACE_OUTER_BOUND('',#2415,.T.); +#1298=FACE_OUTER_BOUND('',#2416,.T.); +#1299=FACE_OUTER_BOUND('',#2417,.T.); +#1300=FACE_OUTER_BOUND('',#2418,.T.); +#1301=FACE_OUTER_BOUND('',#2419,.T.); +#1302=FACE_OUTER_BOUND('',#2420,.T.); +#1303=FACE_OUTER_BOUND('',#2421,.T.); +#1304=FACE_OUTER_BOUND('',#2422,.T.); +#1305=FACE_OUTER_BOUND('',#2423,.T.); +#1306=FACE_OUTER_BOUND('',#2424,.T.); +#1307=FACE_OUTER_BOUND('',#2425,.T.); +#1308=FACE_OUTER_BOUND('',#2426,.T.); +#1309=FACE_OUTER_BOUND('',#2427,.T.); +#1310=FACE_OUTER_BOUND('',#2428,.T.); +#1311=FACE_OUTER_BOUND('',#2429,.T.); +#1312=FACE_OUTER_BOUND('',#2430,.T.); +#1313=FACE_OUTER_BOUND('',#2431,.T.); +#1314=FACE_OUTER_BOUND('',#2432,.T.); +#1315=FACE_OUTER_BOUND('',#2433,.T.); +#1316=FACE_OUTER_BOUND('',#2434,.T.); +#1317=FACE_OUTER_BOUND('',#2435,.T.); +#1318=FACE_OUTER_BOUND('',#2436,.T.); +#1319=FACE_OUTER_BOUND('',#2437,.T.); +#1320=FACE_OUTER_BOUND('',#2438,.T.); +#1321=FACE_OUTER_BOUND('',#2439,.T.); +#1322=FACE_OUTER_BOUND('',#2440,.T.); +#1323=FACE_OUTER_BOUND('',#2441,.T.); +#1324=FACE_OUTER_BOUND('',#2442,.T.); +#1325=FACE_OUTER_BOUND('',#2443,.T.); +#1326=FACE_OUTER_BOUND('',#2444,.T.); +#1327=FACE_OUTER_BOUND('',#2445,.T.); +#1328=FACE_OUTER_BOUND('',#2446,.T.); +#1329=FACE_OUTER_BOUND('',#2447,.T.); +#1330=FACE_OUTER_BOUND('',#2448,.T.); +#1331=FACE_OUTER_BOUND('',#2449,.T.); +#1332=FACE_OUTER_BOUND('',#2450,.T.); +#1333=FACE_OUTER_BOUND('',#2451,.T.); +#1334=FACE_OUTER_BOUND('',#2452,.T.); +#1335=FACE_OUTER_BOUND('',#2453,.T.); +#1336=FACE_OUTER_BOUND('',#2454,.T.); +#1337=FACE_OUTER_BOUND('',#2455,.T.); +#1338=FACE_OUTER_BOUND('',#2456,.T.); +#1339=FACE_OUTER_BOUND('',#2457,.T.); +#1340=FACE_OUTER_BOUND('',#2458,.T.); +#1341=FACE_OUTER_BOUND('',#2459,.T.); +#1342=FACE_OUTER_BOUND('',#2460,.T.); +#1343=FACE_OUTER_BOUND('',#2461,.T.); +#1344=FACE_OUTER_BOUND('',#2462,.T.); +#1345=FACE_OUTER_BOUND('',#2463,.T.); +#1346=FACE_OUTER_BOUND('',#2464,.T.); +#1347=FACE_OUTER_BOUND('',#2465,.T.); +#1348=FACE_OUTER_BOUND('',#2466,.T.); +#1349=FACE_OUTER_BOUND('',#2467,.T.); +#1350=FACE_OUTER_BOUND('',#2468,.T.); +#1351=FACE_OUTER_BOUND('',#2469,.T.); +#1352=FACE_OUTER_BOUND('',#2470,.T.); +#1353=FACE_OUTER_BOUND('',#2471,.T.); +#1354=FACE_OUTER_BOUND('',#2472,.T.); +#1355=FACE_OUTER_BOUND('',#2473,.T.); +#1356=FACE_OUTER_BOUND('',#2474,.T.); +#1357=FACE_OUTER_BOUND('',#2475,.T.); +#1358=FACE_OUTER_BOUND('',#2476,.T.); +#1359=FACE_OUTER_BOUND('',#2477,.T.); +#1360=FACE_OUTER_BOUND('',#2478,.T.); +#1361=FACE_OUTER_BOUND('',#2479,.T.); +#1362=FACE_OUTER_BOUND('',#2480,.T.); +#1363=FACE_OUTER_BOUND('',#2481,.T.); +#1364=FACE_OUTER_BOUND('',#2482,.T.); +#1365=FACE_OUTER_BOUND('',#2483,.T.); +#1366=FACE_OUTER_BOUND('',#2484,.T.); +#1367=FACE_OUTER_BOUND('',#2485,.T.); +#1368=FACE_OUTER_BOUND('',#2486,.T.); +#1369=FACE_OUTER_BOUND('',#2487,.T.); +#1370=FACE_OUTER_BOUND('',#2488,.T.); +#1371=FACE_OUTER_BOUND('',#2489,.T.); +#1372=FACE_OUTER_BOUND('',#2490,.T.); +#1373=FACE_OUTER_BOUND('',#2491,.T.); +#1374=FACE_OUTER_BOUND('',#2492,.T.); +#1375=FACE_OUTER_BOUND('',#2493,.T.); +#1376=FACE_OUTER_BOUND('',#2494,.T.); +#1377=FACE_OUTER_BOUND('',#2495,.T.); +#1378=FACE_OUTER_BOUND('',#2496,.T.); +#1379=FACE_OUTER_BOUND('',#2497,.T.); +#1380=FACE_OUTER_BOUND('',#2498,.T.); +#1381=FACE_OUTER_BOUND('',#2499,.T.); +#1382=FACE_OUTER_BOUND('',#2500,.T.); +#1383=FACE_OUTER_BOUND('',#2501,.T.); +#1384=FACE_OUTER_BOUND('',#2502,.T.); +#1385=FACE_OUTER_BOUND('',#2503,.T.); +#1386=FACE_OUTER_BOUND('',#2504,.T.); +#1387=FACE_OUTER_BOUND('',#2505,.T.); +#1388=FACE_OUTER_BOUND('',#2506,.T.); +#1389=FACE_OUTER_BOUND('',#2507,.T.); +#1390=FACE_OUTER_BOUND('',#2508,.T.); +#1391=FACE_OUTER_BOUND('',#2509,.T.); +#1392=FACE_OUTER_BOUND('',#2510,.T.); +#1393=FACE_OUTER_BOUND('',#2511,.T.); +#1394=FACE_OUTER_BOUND('',#2512,.T.); +#1395=FACE_OUTER_BOUND('',#2513,.T.); +#1396=FACE_OUTER_BOUND('',#2514,.T.); +#1397=FACE_OUTER_BOUND('',#2515,.T.); +#1398=FACE_OUTER_BOUND('',#2516,.T.); +#1399=FACE_OUTER_BOUND('',#2517,.T.); +#1400=FACE_OUTER_BOUND('',#2518,.T.); +#1401=FACE_OUTER_BOUND('',#2519,.T.); +#1402=FACE_OUTER_BOUND('',#2520,.T.); +#1403=FACE_OUTER_BOUND('',#2521,.T.); +#1404=FACE_OUTER_BOUND('',#2522,.T.); +#1405=FACE_OUTER_BOUND('',#2523,.T.); +#1406=FACE_OUTER_BOUND('',#2524,.T.); +#1407=FACE_OUTER_BOUND('',#2525,.T.); +#1408=FACE_OUTER_BOUND('',#2526,.T.); +#1409=FACE_OUTER_BOUND('',#2527,.T.); +#1410=FACE_OUTER_BOUND('',#2528,.T.); +#1411=FACE_OUTER_BOUND('',#2529,.T.); +#1412=FACE_OUTER_BOUND('',#2530,.T.); +#1413=FACE_OUTER_BOUND('',#2531,.T.); +#1414=FACE_OUTER_BOUND('',#2532,.T.); +#1415=FACE_OUTER_BOUND('',#2533,.T.); +#1416=FACE_OUTER_BOUND('',#2534,.T.); +#1417=FACE_OUTER_BOUND('',#2535,.T.); +#1418=FACE_OUTER_BOUND('',#2536,.T.); +#1419=FACE_OUTER_BOUND('',#2537,.T.); +#1420=FACE_OUTER_BOUND('',#2538,.T.); +#1421=FACE_OUTER_BOUND('',#2539,.T.); +#1422=FACE_OUTER_BOUND('',#2540,.T.); +#1423=FACE_OUTER_BOUND('',#2541,.T.); +#1424=FACE_OUTER_BOUND('',#2542,.T.); +#1425=FACE_OUTER_BOUND('',#2543,.T.); +#1426=FACE_OUTER_BOUND('',#2544,.T.); +#1427=FACE_OUTER_BOUND('',#2545,.T.); +#1428=FACE_OUTER_BOUND('',#2546,.T.); +#1429=FACE_OUTER_BOUND('',#2547,.T.); +#1430=FACE_OUTER_BOUND('',#2548,.T.); +#1431=FACE_OUTER_BOUND('',#2549,.T.); +#1432=FACE_OUTER_BOUND('',#2550,.T.); +#1433=FACE_OUTER_BOUND('',#2551,.T.); +#1434=FACE_OUTER_BOUND('',#2552,.T.); +#1435=FACE_OUTER_BOUND('',#2553,.T.); +#1436=FACE_OUTER_BOUND('',#2554,.T.); +#1437=FACE_OUTER_BOUND('',#2555,.T.); +#1438=FACE_OUTER_BOUND('',#2556,.T.); +#1439=FACE_OUTER_BOUND('',#2557,.T.); +#1440=FACE_OUTER_BOUND('',#2558,.T.); +#1441=FACE_OUTER_BOUND('',#2559,.T.); +#1442=FACE_OUTER_BOUND('',#2560,.T.); +#1443=FACE_OUTER_BOUND('',#2561,.T.); +#1444=FACE_OUTER_BOUND('',#2562,.T.); +#1445=FACE_OUTER_BOUND('',#2563,.T.); +#1446=FACE_OUTER_BOUND('',#2564,.T.); +#1447=FACE_OUTER_BOUND('',#2565,.T.); +#1448=FACE_OUTER_BOUND('',#2566,.T.); +#1449=FACE_OUTER_BOUND('',#2567,.T.); +#1450=FACE_OUTER_BOUND('',#2568,.T.); +#1451=FACE_OUTER_BOUND('',#2569,.T.); +#1452=FACE_OUTER_BOUND('',#2570,.T.); +#1453=FACE_OUTER_BOUND('',#2571,.T.); +#1454=FACE_OUTER_BOUND('',#2572,.T.); +#1455=FACE_OUTER_BOUND('',#2573,.T.); +#1456=FACE_OUTER_BOUND('',#2574,.T.); +#1457=FACE_OUTER_BOUND('',#2575,.T.); +#1458=FACE_OUTER_BOUND('',#2576,.T.); +#1459=FACE_OUTER_BOUND('',#2577,.T.); +#1460=FACE_OUTER_BOUND('',#2578,.T.); +#1461=FACE_OUTER_BOUND('',#2579,.T.); +#1462=FACE_OUTER_BOUND('',#2580,.T.); +#1463=FACE_OUTER_BOUND('',#2581,.T.); +#1464=FACE_OUTER_BOUND('',#2582,.T.); +#1465=FACE_OUTER_BOUND('',#2583,.T.); +#1466=FACE_OUTER_BOUND('',#2584,.T.); +#1467=FACE_OUTER_BOUND('',#2585,.T.); +#1468=FACE_OUTER_BOUND('',#2586,.T.); +#1469=FACE_OUTER_BOUND('',#2587,.T.); +#1470=FACE_OUTER_BOUND('',#2588,.T.); +#1471=FACE_OUTER_BOUND('',#2589,.T.); +#1472=FACE_OUTER_BOUND('',#2590,.T.); +#1473=FACE_OUTER_BOUND('',#2591,.T.); +#1474=FACE_OUTER_BOUND('',#2592,.T.); +#1475=FACE_OUTER_BOUND('',#2593,.T.); +#1476=FACE_OUTER_BOUND('',#2594,.T.); +#1477=FACE_OUTER_BOUND('',#2595,.T.); +#1478=FACE_OUTER_BOUND('',#2596,.T.); +#1479=FACE_OUTER_BOUND('',#2597,.T.); +#1480=FACE_OUTER_BOUND('',#2598,.T.); +#1481=FACE_OUTER_BOUND('',#2599,.T.); +#1482=FACE_OUTER_BOUND('',#2600,.T.); +#1483=FACE_OUTER_BOUND('',#2601,.T.); +#1484=FACE_OUTER_BOUND('',#2602,.T.); +#1485=FACE_OUTER_BOUND('',#2603,.T.); +#1486=FACE_OUTER_BOUND('',#2604,.T.); +#1487=FACE_OUTER_BOUND('',#2605,.T.); +#1488=FACE_OUTER_BOUND('',#2606,.T.); +#1489=FACE_OUTER_BOUND('',#2607,.T.); +#1490=FACE_OUTER_BOUND('',#2608,.T.); +#1491=FACE_OUTER_BOUND('',#2609,.T.); +#1492=FACE_OUTER_BOUND('',#2610,.T.); +#1493=FACE_OUTER_BOUND('',#2611,.T.); +#1494=FACE_OUTER_BOUND('',#2612,.T.); +#1495=FACE_OUTER_BOUND('',#2613,.T.); +#1496=FACE_OUTER_BOUND('',#2614,.T.); +#1497=FACE_OUTER_BOUND('',#2615,.T.); +#1498=FACE_OUTER_BOUND('',#2616,.T.); +#1499=FACE_OUTER_BOUND('',#2617,.T.); +#1500=FACE_OUTER_BOUND('',#2618,.T.); +#1501=FACE_OUTER_BOUND('',#2619,.T.); +#1502=FACE_OUTER_BOUND('',#2620,.T.); +#1503=FACE_OUTER_BOUND('',#2621,.T.); +#1504=FACE_OUTER_BOUND('',#2622,.T.); +#1505=FACE_OUTER_BOUND('',#2623,.T.); +#1506=FACE_OUTER_BOUND('',#2624,.T.); +#1507=FACE_OUTER_BOUND('',#2625,.T.); +#1508=FACE_OUTER_BOUND('',#2626,.T.); +#1509=FACE_OUTER_BOUND('',#2627,.T.); +#1510=FACE_OUTER_BOUND('',#2628,.T.); +#1511=FACE_OUTER_BOUND('',#2629,.T.); +#1512=FACE_OUTER_BOUND('',#2630,.T.); +#1513=FACE_OUTER_BOUND('',#2631,.T.); +#1514=FACE_OUTER_BOUND('',#2632,.T.); +#1515=FACE_OUTER_BOUND('',#2633,.T.); +#1516=FACE_OUTER_BOUND('',#2634,.T.); +#1517=FACE_OUTER_BOUND('',#2635,.T.); +#1518=FACE_OUTER_BOUND('',#2636,.T.); +#1519=FACE_OUTER_BOUND('',#2637,.T.); +#1520=FACE_OUTER_BOUND('',#2638,.T.); +#1521=FACE_OUTER_BOUND('',#2639,.T.); +#1522=FACE_OUTER_BOUND('',#2640,.T.); +#1523=FACE_OUTER_BOUND('',#2641,.T.); +#1524=FACE_OUTER_BOUND('',#2642,.T.); +#1525=FACE_OUTER_BOUND('',#2643,.T.); +#1526=FACE_OUTER_BOUND('',#2644,.T.); +#1527=FACE_OUTER_BOUND('',#2645,.T.); +#1528=FACE_OUTER_BOUND('',#2646,.T.); +#1529=FACE_OUTER_BOUND('',#2647,.T.); +#1530=FACE_OUTER_BOUND('',#2648,.T.); +#1531=FACE_OUTER_BOUND('',#2649,.T.); +#1532=FACE_OUTER_BOUND('',#2650,.T.); +#1533=FACE_OUTER_BOUND('',#2651,.T.); +#1534=FACE_OUTER_BOUND('',#2652,.T.); +#1535=FACE_OUTER_BOUND('',#2653,.T.); +#1536=FACE_OUTER_BOUND('',#2654,.T.); +#1537=FACE_OUTER_BOUND('',#2655,.T.); +#1538=FACE_OUTER_BOUND('',#2656,.T.); +#1539=FACE_OUTER_BOUND('',#2657,.T.); +#1540=FACE_OUTER_BOUND('',#2658,.T.); +#1541=FACE_OUTER_BOUND('',#2659,.T.); +#1542=FACE_OUTER_BOUND('',#2660,.T.); +#1543=FACE_OUTER_BOUND('',#2661,.T.); +#1544=FACE_OUTER_BOUND('',#2662,.T.); +#1545=FACE_OUTER_BOUND('',#2663,.T.); +#1546=FACE_OUTER_BOUND('',#2664,.T.); +#1547=FACE_OUTER_BOUND('',#2665,.T.); +#1548=FACE_OUTER_BOUND('',#2666,.T.); +#1549=FACE_OUTER_BOUND('',#2667,.T.); +#1550=FACE_OUTER_BOUND('',#2668,.T.); +#1551=FACE_OUTER_BOUND('',#2669,.T.); +#1552=FACE_OUTER_BOUND('',#2670,.T.); +#1553=FACE_OUTER_BOUND('',#2671,.T.); +#1554=FACE_OUTER_BOUND('',#2672,.T.); +#1555=FACE_OUTER_BOUND('',#2673,.T.); +#1556=FACE_OUTER_BOUND('',#2674,.T.); +#1557=FACE_OUTER_BOUND('',#2675,.T.); +#1558=FACE_OUTER_BOUND('',#2676,.T.); +#1559=FACE_OUTER_BOUND('',#2677,.T.); +#1560=FACE_OUTER_BOUND('',#2678,.T.); +#1561=FACE_OUTER_BOUND('',#2679,.T.); +#1562=FACE_OUTER_BOUND('',#2680,.T.); +#1563=FACE_OUTER_BOUND('',#2681,.T.); +#1564=FACE_OUTER_BOUND('',#2682,.T.); +#1565=FACE_OUTER_BOUND('',#2683,.T.); +#1566=FACE_OUTER_BOUND('',#2684,.T.); +#1567=FACE_OUTER_BOUND('',#2685,.T.); +#1568=FACE_OUTER_BOUND('',#2686,.T.); +#1569=FACE_OUTER_BOUND('',#2687,.T.); +#1570=FACE_OUTER_BOUND('',#2688,.T.); +#1571=FACE_OUTER_BOUND('',#2689,.T.); +#1572=FACE_OUTER_BOUND('',#2690,.T.); +#1573=FACE_OUTER_BOUND('',#2691,.T.); +#1574=FACE_OUTER_BOUND('',#2692,.T.); +#1575=FACE_OUTER_BOUND('',#2693,.T.); +#1576=FACE_OUTER_BOUND('',#2694,.T.); +#1577=FACE_OUTER_BOUND('',#2695,.T.); +#1578=FACE_OUTER_BOUND('',#2696,.T.); +#1579=FACE_OUTER_BOUND('',#2697,.T.); +#1580=FACE_OUTER_BOUND('',#2698,.T.); +#1581=FACE_OUTER_BOUND('',#2699,.T.); +#1582=FACE_OUTER_BOUND('',#2700,.T.); +#1583=FACE_OUTER_BOUND('',#2701,.T.); +#1584=FACE_OUTER_BOUND('',#2702,.T.); +#1585=FACE_OUTER_BOUND('',#2703,.T.); +#1586=FACE_OUTER_BOUND('',#2704,.T.); +#1587=FACE_OUTER_BOUND('',#2705,.T.); +#1588=FACE_OUTER_BOUND('',#2706,.T.); +#1589=FACE_OUTER_BOUND('',#2707,.T.); +#1590=FACE_OUTER_BOUND('',#2708,.T.); +#1591=FACE_OUTER_BOUND('',#2709,.T.); +#1592=FACE_OUTER_BOUND('',#2710,.T.); +#1593=FACE_OUTER_BOUND('',#2711,.T.); +#1594=FACE_OUTER_BOUND('',#2712,.T.); +#1595=FACE_OUTER_BOUND('',#2713,.T.); +#1596=FACE_OUTER_BOUND('',#2714,.T.); +#1597=FACE_OUTER_BOUND('',#2715,.T.); +#1598=FACE_OUTER_BOUND('',#2716,.T.); +#1599=FACE_OUTER_BOUND('',#2717,.T.); +#1600=FACE_OUTER_BOUND('',#2718,.T.); +#1601=FACE_OUTER_BOUND('',#2719,.T.); +#1602=FACE_OUTER_BOUND('',#2720,.T.); +#1603=FACE_OUTER_BOUND('',#2721,.T.); +#1604=FACE_OUTER_BOUND('',#2722,.T.); +#1605=FACE_OUTER_BOUND('',#2723,.T.); +#1606=FACE_OUTER_BOUND('',#2724,.T.); +#1607=FACE_OUTER_BOUND('',#2725,.T.); +#1608=FACE_OUTER_BOUND('',#2726,.T.); +#1609=FACE_OUTER_BOUND('',#2727,.T.); +#1610=FACE_OUTER_BOUND('',#2728,.T.); +#1611=FACE_OUTER_BOUND('',#2729,.T.); +#1612=FACE_OUTER_BOUND('',#2730,.T.); +#1613=FACE_OUTER_BOUND('',#2731,.T.); +#1614=FACE_OUTER_BOUND('',#2732,.T.); +#1615=FACE_OUTER_BOUND('',#2733,.T.); +#1616=FACE_OUTER_BOUND('',#2734,.T.); +#1617=FACE_OUTER_BOUND('',#2735,.T.); +#1618=FACE_OUTER_BOUND('',#2736,.T.); +#1619=FACE_OUTER_BOUND('',#2737,.T.); +#1620=FACE_OUTER_BOUND('',#2738,.T.); +#1621=FACE_OUTER_BOUND('',#2739,.T.); +#1622=FACE_OUTER_BOUND('',#2740,.T.); +#1623=FACE_OUTER_BOUND('',#2741,.T.); +#1624=FACE_OUTER_BOUND('',#2742,.T.); +#1625=FACE_OUTER_BOUND('',#2743,.T.); +#1626=FACE_OUTER_BOUND('',#2744,.T.); +#1627=FACE_OUTER_BOUND('',#2745,.T.); +#1628=FACE_OUTER_BOUND('',#2746,.T.); +#1629=FACE_OUTER_BOUND('',#2747,.T.); +#1630=FACE_OUTER_BOUND('',#2748,.T.); +#1631=FACE_OUTER_BOUND('',#2749,.T.); +#1632=FACE_OUTER_BOUND('',#2750,.T.); +#1633=FACE_OUTER_BOUND('',#2751,.T.); +#1634=FACE_OUTER_BOUND('',#2752,.T.); +#1635=FACE_OUTER_BOUND('',#2753,.T.); +#1636=FACE_OUTER_BOUND('',#2754,.T.); +#1637=FACE_OUTER_BOUND('',#2755,.T.); +#1638=FACE_OUTER_BOUND('',#2756,.T.); +#1639=FACE_OUTER_BOUND('',#2757,.T.); +#1640=FACE_OUTER_BOUND('',#2758,.T.); +#1641=FACE_OUTER_BOUND('',#2759,.T.); +#1642=FACE_OUTER_BOUND('',#2760,.T.); +#1643=FACE_OUTER_BOUND('',#2761,.T.); +#1644=FACE_OUTER_BOUND('',#2762,.T.); +#1645=FACE_OUTER_BOUND('',#2763,.T.); +#1646=FACE_OUTER_BOUND('',#2764,.T.); +#1647=FACE_OUTER_BOUND('',#2765,.T.); +#1648=FACE_OUTER_BOUND('',#2766,.T.); +#1649=FACE_OUTER_BOUND('',#2767,.T.); +#1650=FACE_OUTER_BOUND('',#2768,.T.); +#1651=FACE_OUTER_BOUND('',#2769,.T.); +#1652=FACE_OUTER_BOUND('',#2770,.T.); +#1653=FACE_OUTER_BOUND('',#2771,.T.); +#1654=FACE_OUTER_BOUND('',#2772,.T.); +#1655=FACE_OUTER_BOUND('',#2773,.T.); +#1656=FACE_OUTER_BOUND('',#2774,.T.); +#1657=FACE_OUTER_BOUND('',#2775,.T.); +#1658=FACE_OUTER_BOUND('',#2776,.T.); +#1659=FACE_OUTER_BOUND('',#2777,.T.); +#1660=FACE_OUTER_BOUND('',#2778,.T.); +#1661=FACE_OUTER_BOUND('',#2779,.T.); +#1662=FACE_OUTER_BOUND('',#2780,.T.); +#1663=FACE_OUTER_BOUND('',#2781,.T.); +#1664=FACE_OUTER_BOUND('',#2782,.T.); +#1665=FACE_OUTER_BOUND('',#2783,.T.); +#1666=FACE_OUTER_BOUND('',#2784,.T.); +#1667=FACE_OUTER_BOUND('',#2785,.T.); +#1668=FACE_OUTER_BOUND('',#2786,.T.); +#1669=FACE_OUTER_BOUND('',#2787,.T.); +#1670=FACE_OUTER_BOUND('',#2788,.T.); +#1671=FACE_OUTER_BOUND('',#2789,.T.); +#1672=FACE_OUTER_BOUND('',#2790,.T.); +#1673=FACE_OUTER_BOUND('',#2791,.T.); +#1674=FACE_OUTER_BOUND('',#2792,.T.); +#1675=FACE_OUTER_BOUND('',#2793,.T.); +#1676=FACE_OUTER_BOUND('',#2794,.T.); +#1677=FACE_OUTER_BOUND('',#2795,.T.); +#1678=FACE_OUTER_BOUND('',#2796,.T.); +#1679=FACE_OUTER_BOUND('',#2797,.T.); +#1680=FACE_OUTER_BOUND('',#2798,.T.); +#1681=FACE_OUTER_BOUND('',#2799,.T.); +#1682=FACE_OUTER_BOUND('',#2800,.T.); +#1683=FACE_OUTER_BOUND('',#2801,.T.); +#1684=FACE_OUTER_BOUND('',#2802,.T.); +#1685=FACE_OUTER_BOUND('',#2803,.T.); +#1686=FACE_OUTER_BOUND('',#2804,.T.); +#1687=FACE_OUTER_BOUND('',#2805,.T.); +#1688=FACE_OUTER_BOUND('',#2806,.T.); +#1689=FACE_OUTER_BOUND('',#2807,.T.); +#1690=FACE_OUTER_BOUND('',#2808,.T.); +#1691=FACE_OUTER_BOUND('',#2809,.T.); +#1692=FACE_OUTER_BOUND('',#2810,.T.); +#1693=FACE_OUTER_BOUND('',#2811,.T.); +#1694=FACE_OUTER_BOUND('',#2812,.T.); +#1695=FACE_OUTER_BOUND('',#2813,.T.); +#1696=FACE_OUTER_BOUND('',#2814,.T.); +#1697=FACE_OUTER_BOUND('',#2815,.T.); +#1698=FACE_OUTER_BOUND('',#2816,.T.); +#1699=FACE_OUTER_BOUND('',#2817,.T.); +#1700=FACE_OUTER_BOUND('',#2818,.T.); +#1701=FACE_OUTER_BOUND('',#2819,.T.); +#1702=FACE_OUTER_BOUND('',#2820,.T.); +#1703=FACE_OUTER_BOUND('',#2821,.T.); +#1704=FACE_OUTER_BOUND('',#2822,.T.); +#1705=FACE_OUTER_BOUND('',#2823,.T.); +#1706=FACE_OUTER_BOUND('',#2824,.T.); +#1707=FACE_OUTER_BOUND('',#2825,.T.); +#1708=FACE_OUTER_BOUND('',#2826,.T.); +#1709=FACE_OUTER_BOUND('',#2827,.T.); +#1710=FACE_OUTER_BOUND('',#2828,.T.); +#1711=FACE_OUTER_BOUND('',#2829,.T.); +#1712=FACE_OUTER_BOUND('',#2830,.T.); +#1713=FACE_OUTER_BOUND('',#2831,.T.); +#1714=FACE_OUTER_BOUND('',#2832,.T.); +#1715=FACE_OUTER_BOUND('',#2833,.T.); +#1716=FACE_OUTER_BOUND('',#2834,.T.); +#1717=FACE_OUTER_BOUND('',#2835,.T.); +#1718=FACE_OUTER_BOUND('',#2836,.T.); +#1719=FACE_OUTER_BOUND('',#2837,.T.); +#1720=FACE_OUTER_BOUND('',#2838,.T.); +#1721=FACE_OUTER_BOUND('',#2839,.T.); +#1722=FACE_OUTER_BOUND('',#2840,.T.); +#1723=FACE_OUTER_BOUND('',#2841,.T.); +#1724=FACE_OUTER_BOUND('',#2842,.T.); +#1725=FACE_OUTER_BOUND('',#2843,.T.); +#1726=FACE_OUTER_BOUND('',#2844,.T.); +#1727=FACE_OUTER_BOUND('',#2845,.T.); +#1728=FACE_OUTER_BOUND('',#2846,.T.); +#1729=FACE_OUTER_BOUND('',#2847,.T.); +#1730=FACE_OUTER_BOUND('',#2848,.T.); +#1731=FACE_OUTER_BOUND('',#2849,.T.); +#1732=FACE_OUTER_BOUND('',#2850,.T.); +#1733=FACE_OUTER_BOUND('',#2852,.T.); +#1734=FACE_OUTER_BOUND('',#2853,.T.); +#1735=FACE_OUTER_BOUND('',#2854,.T.); +#1736=FACE_OUTER_BOUND('',#2855,.T.); +#1737=FACE_OUTER_BOUND('',#2856,.T.); +#1738=FACE_OUTER_BOUND('',#2857,.T.); +#1739=FACE_OUTER_BOUND('',#2858,.T.); +#1740=FACE_OUTER_BOUND('',#2859,.T.); +#1741=FACE_OUTER_BOUND('',#2860,.T.); +#1742=FACE_OUTER_BOUND('',#2861,.T.); +#1743=FACE_OUTER_BOUND('',#2862,.T.); +#1744=FACE_OUTER_BOUND('',#2863,.T.); +#1745=FACE_OUTER_BOUND('',#2864,.T.); +#1746=FACE_OUTER_BOUND('',#2865,.T.); +#1747=FACE_OUTER_BOUND('',#2866,.T.); +#1748=FACE_OUTER_BOUND('',#2867,.T.); +#1749=FACE_OUTER_BOUND('',#2868,.T.); +#1750=FACE_OUTER_BOUND('',#2869,.T.); +#1751=FACE_OUTER_BOUND('',#2870,.T.); +#1752=FACE_OUTER_BOUND('',#2871,.T.); +#1753=FACE_OUTER_BOUND('',#2872,.T.); +#1754=FACE_OUTER_BOUND('',#2873,.T.); +#1755=FACE_OUTER_BOUND('',#2874,.T.); +#1756=FACE_OUTER_BOUND('',#2875,.T.); +#1757=FACE_OUTER_BOUND('',#2876,.T.); +#1758=FACE_OUTER_BOUND('',#2877,.T.); +#1759=FACE_OUTER_BOUND('',#2878,.T.); +#1760=FACE_OUTER_BOUND('',#2879,.T.); +#1761=FACE_OUTER_BOUND('',#2880,.T.); +#1762=FACE_OUTER_BOUND('',#2881,.T.); +#1763=FACE_OUTER_BOUND('',#2882,.T.); +#1764=FACE_OUTER_BOUND('',#2883,.T.); +#1765=FACE_OUTER_BOUND('',#2884,.T.); +#1766=FACE_OUTER_BOUND('',#2885,.T.); +#1767=FACE_OUTER_BOUND('',#2886,.T.); +#1768=FACE_OUTER_BOUND('',#2887,.T.); +#1769=FACE_OUTER_BOUND('',#2888,.T.); +#1770=FACE_OUTER_BOUND('',#2889,.T.); +#1771=FACE_OUTER_BOUND('',#2890,.T.); +#1772=FACE_OUTER_BOUND('',#2891,.T.); +#1773=FACE_OUTER_BOUND('',#2892,.T.); +#1774=FACE_OUTER_BOUND('',#2893,.T.); +#1775=FACE_OUTER_BOUND('',#2894,.T.); +#1776=FACE_OUTER_BOUND('',#2895,.T.); +#1777=FACE_OUTER_BOUND('',#2896,.T.); +#1778=FACE_OUTER_BOUND('',#2897,.T.); +#1779=FACE_OUTER_BOUND('',#2898,.T.); +#1780=FACE_OUTER_BOUND('',#2899,.T.); +#1781=FACE_OUTER_BOUND('',#2900,.T.); +#1782=FACE_OUTER_BOUND('',#2901,.T.); +#1783=FACE_OUTER_BOUND('',#2902,.T.); +#1784=FACE_OUTER_BOUND('',#2903,.T.); +#1785=FACE_OUTER_BOUND('',#2904,.T.); +#1786=FACE_OUTER_BOUND('',#2905,.T.); +#1787=FACE_OUTER_BOUND('',#2906,.T.); +#1788=FACE_OUTER_BOUND('',#2907,.T.); +#1789=FACE_OUTER_BOUND('',#2908,.T.); +#1790=FACE_OUTER_BOUND('',#2909,.T.); +#1791=FACE_OUTER_BOUND('',#2910,.T.); +#1792=FACE_OUTER_BOUND('',#2911,.T.); +#1793=FACE_OUTER_BOUND('',#2912,.T.); +#1794=FACE_OUTER_BOUND('',#2913,.T.); +#1795=FACE_OUTER_BOUND('',#2914,.T.); +#1796=FACE_OUTER_BOUND('',#2915,.T.); +#1797=FACE_OUTER_BOUND('',#2916,.T.); +#1798=FACE_OUTER_BOUND('',#2917,.T.); +#1799=FACE_OUTER_BOUND('',#2918,.T.); +#1800=FACE_OUTER_BOUND('',#2919,.T.); +#1801=FACE_OUTER_BOUND('',#2920,.T.); +#1802=FACE_OUTER_BOUND('',#2921,.T.); +#1803=FACE_OUTER_BOUND('',#2922,.T.); +#1804=FACE_OUTER_BOUND('',#2923,.T.); +#1805=FACE_OUTER_BOUND('',#2924,.T.); +#1806=FACE_OUTER_BOUND('',#2925,.T.); +#1807=FACE_OUTER_BOUND('',#2926,.T.); +#1808=FACE_OUTER_BOUND('',#2927,.T.); +#1809=FACE_OUTER_BOUND('',#2928,.T.); +#1810=FACE_OUTER_BOUND('',#2929,.T.); +#1811=FACE_OUTER_BOUND('',#2930,.T.); +#1812=FACE_OUTER_BOUND('',#2931,.T.); +#1813=FACE_OUTER_BOUND('',#2932,.T.); +#1814=FACE_OUTER_BOUND('',#2933,.T.); +#1815=FACE_OUTER_BOUND('',#2934,.T.); +#1816=FACE_OUTER_BOUND('',#2935,.T.); +#1817=FACE_OUTER_BOUND('',#2936,.T.); +#1818=FACE_OUTER_BOUND('',#2937,.T.); +#1819=FACE_OUTER_BOUND('',#2938,.T.); +#1820=FACE_OUTER_BOUND('',#2939,.T.); +#1821=FACE_OUTER_BOUND('',#2940,.T.); +#1822=FACE_OUTER_BOUND('',#2941,.T.); +#1823=FACE_OUTER_BOUND('',#2942,.T.); +#1824=FACE_OUTER_BOUND('',#2943,.T.); +#1825=FACE_OUTER_BOUND('',#2944,.T.); +#1826=FACE_OUTER_BOUND('',#2945,.T.); +#1827=FACE_OUTER_BOUND('',#2946,.T.); +#1828=FACE_OUTER_BOUND('',#2947,.T.); +#1829=FACE_OUTER_BOUND('',#2948,.T.); +#1830=FACE_OUTER_BOUND('',#2949,.T.); +#1831=FACE_OUTER_BOUND('',#2950,.T.); +#1832=FACE_OUTER_BOUND('',#2951,.T.); +#1833=FACE_OUTER_BOUND('',#2952,.T.); +#1834=FACE_OUTER_BOUND('',#2953,.T.); +#1835=FACE_OUTER_BOUND('',#2954,.T.); +#1836=FACE_OUTER_BOUND('',#2955,.T.); +#1837=FACE_OUTER_BOUND('',#2956,.T.); +#1838=FACE_OUTER_BOUND('',#2957,.T.); +#1839=FACE_OUTER_BOUND('',#2958,.T.); +#1840=FACE_OUTER_BOUND('',#2959,.T.); +#1841=FACE_OUTER_BOUND('',#2960,.T.); +#1842=FACE_OUTER_BOUND('',#2961,.T.); +#1843=FACE_OUTER_BOUND('',#2962,.T.); +#1844=FACE_OUTER_BOUND('',#2963,.T.); +#1845=FACE_OUTER_BOUND('',#2964,.T.); +#1846=FACE_OUTER_BOUND('',#2965,.T.); +#1847=FACE_OUTER_BOUND('',#2966,.T.); +#1848=FACE_OUTER_BOUND('',#2967,.T.); +#1849=FACE_OUTER_BOUND('',#2968,.T.); +#1850=FACE_OUTER_BOUND('',#2969,.T.); +#1851=FACE_OUTER_BOUND('',#2970,.T.); +#1852=FACE_OUTER_BOUND('',#2971,.T.); +#1853=FACE_OUTER_BOUND('',#2972,.T.); +#1854=FACE_OUTER_BOUND('',#2973,.T.); +#1855=FACE_OUTER_BOUND('',#2974,.T.); +#1856=FACE_OUTER_BOUND('',#2975,.T.); +#1857=FACE_OUTER_BOUND('',#2976,.T.); +#1858=FACE_OUTER_BOUND('',#2977,.T.); +#1859=FACE_OUTER_BOUND('',#2978,.T.); +#1860=FACE_OUTER_BOUND('',#2979,.T.); +#1861=FACE_OUTER_BOUND('',#2980,.T.); +#1862=FACE_OUTER_BOUND('',#2981,.T.); +#1863=FACE_OUTER_BOUND('',#2982,.T.); +#1864=FACE_OUTER_BOUND('',#2983,.T.); +#1865=FACE_OUTER_BOUND('',#2984,.T.); +#1866=FACE_OUTER_BOUND('',#2985,.T.); +#1867=FACE_OUTER_BOUND('',#2986,.T.); +#1868=FACE_OUTER_BOUND('',#2987,.T.); +#1869=FACE_OUTER_BOUND('',#2988,.T.); +#1870=FACE_OUTER_BOUND('',#2989,.T.); +#1871=FACE_OUTER_BOUND('',#2990,.T.); +#1872=FACE_OUTER_BOUND('',#2991,.T.); +#1873=FACE_OUTER_BOUND('',#2992,.T.); +#1874=FACE_OUTER_BOUND('',#2993,.T.); +#1875=FACE_OUTER_BOUND('',#2994,.T.); +#1876=FACE_OUTER_BOUND('',#2995,.T.); +#1877=FACE_OUTER_BOUND('',#2996,.T.); +#1878=FACE_OUTER_BOUND('',#2997,.T.); +#1879=FACE_OUTER_BOUND('',#2998,.T.); +#1880=FACE_OUTER_BOUND('',#2999,.T.); +#1881=FACE_OUTER_BOUND('',#3000,.T.); +#1882=FACE_OUTER_BOUND('',#3001,.T.); +#1883=FACE_OUTER_BOUND('',#3002,.T.); +#1884=FACE_OUTER_BOUND('',#3003,.T.); +#1885=FACE_OUTER_BOUND('',#3004,.T.); +#1886=FACE_OUTER_BOUND('',#3005,.T.); +#1887=FACE_OUTER_BOUND('',#3006,.T.); +#1888=FACE_OUTER_BOUND('',#3007,.T.); +#1889=FACE_OUTER_BOUND('',#3008,.T.); +#1890=FACE_OUTER_BOUND('',#3009,.T.); +#1891=FACE_OUTER_BOUND('',#3010,.T.); +#1892=FACE_OUTER_BOUND('',#3011,.T.); +#1893=FACE_OUTER_BOUND('',#3012,.T.); +#1894=FACE_OUTER_BOUND('',#3013,.T.); +#1895=FACE_OUTER_BOUND('',#3014,.T.); +#1896=FACE_OUTER_BOUND('',#3015,.T.); +#1897=FACE_OUTER_BOUND('',#3016,.T.); +#1898=FACE_OUTER_BOUND('',#3017,.T.); +#1899=FACE_OUTER_BOUND('',#3018,.T.); +#1900=FACE_OUTER_BOUND('',#3019,.T.); +#1901=FACE_OUTER_BOUND('',#3020,.T.); +#1902=FACE_OUTER_BOUND('',#3021,.T.); +#1903=FACE_OUTER_BOUND('',#3022,.T.); +#1904=FACE_OUTER_BOUND('',#3023,.T.); +#1905=FACE_OUTER_BOUND('',#3024,.T.); +#1906=FACE_OUTER_BOUND('',#3025,.T.); +#1907=FACE_OUTER_BOUND('',#3026,.T.); +#1908=FACE_OUTER_BOUND('',#3027,.T.); +#1909=FACE_OUTER_BOUND('',#3028,.T.); +#1910=FACE_OUTER_BOUND('',#3029,.T.); +#1911=FACE_OUTER_BOUND('',#3030,.T.); +#1912=FACE_OUTER_BOUND('',#3031,.T.); +#1913=FACE_OUTER_BOUND('',#3032,.T.); +#1914=FACE_OUTER_BOUND('',#3033,.T.); +#1915=FACE_OUTER_BOUND('',#3034,.T.); +#1916=FACE_OUTER_BOUND('',#3035,.T.); +#1917=FACE_OUTER_BOUND('',#3036,.T.); +#1918=FACE_OUTER_BOUND('',#3037,.T.); +#1919=FACE_OUTER_BOUND('',#3038,.T.); +#1920=FACE_OUTER_BOUND('',#3039,.T.); +#1921=FACE_OUTER_BOUND('',#3040,.T.); +#1922=FACE_OUTER_BOUND('',#3041,.T.); +#1923=FACE_OUTER_BOUND('',#3042,.T.); +#1924=FACE_OUTER_BOUND('',#3043,.T.); +#1925=FACE_OUTER_BOUND('',#3044,.T.); +#1926=FACE_OUTER_BOUND('',#3045,.T.); +#1927=FACE_OUTER_BOUND('',#3046,.T.); +#1928=FACE_OUTER_BOUND('',#3047,.T.); +#1929=FACE_OUTER_BOUND('',#3048,.T.); +#1930=FACE_OUTER_BOUND('',#3049,.T.); +#1931=FACE_OUTER_BOUND('',#3050,.T.); +#1932=FACE_OUTER_BOUND('',#3051,.T.); +#1933=FACE_OUTER_BOUND('',#3052,.T.); +#1934=FACE_OUTER_BOUND('',#3053,.T.); +#1935=FACE_OUTER_BOUND('',#3054,.T.); +#1936=FACE_OUTER_BOUND('',#3055,.T.); +#1937=FACE_OUTER_BOUND('',#3056,.T.); +#1938=FACE_OUTER_BOUND('',#3057,.T.); +#1939=FACE_OUTER_BOUND('',#3058,.T.); +#1940=FACE_OUTER_BOUND('',#3059,.T.); +#1941=FACE_OUTER_BOUND('',#3060,.T.); +#1942=FACE_OUTER_BOUND('',#3061,.T.); +#1943=FACE_OUTER_BOUND('',#3062,.T.); +#1944=FACE_OUTER_BOUND('',#3063,.T.); +#1945=FACE_OUTER_BOUND('',#3064,.T.); +#1946=FACE_OUTER_BOUND('',#3065,.T.); +#1947=FACE_OUTER_BOUND('',#3066,.T.); +#1948=FACE_OUTER_BOUND('',#3067,.T.); +#1949=FACE_OUTER_BOUND('',#3068,.T.); +#1950=FACE_OUTER_BOUND('',#3069,.T.); +#1951=FACE_OUTER_BOUND('',#3070,.T.); +#1952=FACE_OUTER_BOUND('',#3071,.T.); +#1953=FACE_OUTER_BOUND('',#3072,.T.); +#1954=FACE_OUTER_BOUND('',#3073,.T.); +#1955=FACE_OUTER_BOUND('',#3074,.T.); +#1956=FACE_OUTER_BOUND('',#3075,.T.); +#1957=FACE_OUTER_BOUND('',#3076,.T.); +#1958=FACE_OUTER_BOUND('',#3077,.T.); +#1959=FACE_OUTER_BOUND('',#3078,.T.); +#1960=FACE_OUTER_BOUND('',#3079,.T.); +#1961=FACE_OUTER_BOUND('',#3080,.T.); +#1962=FACE_OUTER_BOUND('',#3081,.T.); +#1963=FACE_OUTER_BOUND('',#3082,.T.); +#1964=FACE_OUTER_BOUND('',#3083,.T.); +#1965=FACE_OUTER_BOUND('',#3084,.T.); +#1966=FACE_OUTER_BOUND('',#3085,.T.); +#1967=FACE_OUTER_BOUND('',#3086,.T.); +#1968=FACE_OUTER_BOUND('',#3087,.T.); +#1969=FACE_OUTER_BOUND('',#3088,.T.); +#1970=FACE_OUTER_BOUND('',#3089,.T.); +#1971=FACE_OUTER_BOUND('',#3090,.T.); +#1972=FACE_OUTER_BOUND('',#3091,.T.); +#1973=FACE_OUTER_BOUND('',#3092,.T.); +#1974=FACE_OUTER_BOUND('',#3093,.T.); +#1975=FACE_OUTER_BOUND('',#3094,.T.); +#1976=FACE_OUTER_BOUND('',#3095,.T.); +#1977=FACE_OUTER_BOUND('',#3096,.T.); +#1978=FACE_OUTER_BOUND('',#3097,.T.); +#1979=FACE_OUTER_BOUND('',#3098,.T.); +#1980=FACE_OUTER_BOUND('',#3099,.T.); +#1981=FACE_OUTER_BOUND('',#3100,.T.); +#1982=FACE_OUTER_BOUND('',#3101,.T.); +#1983=FACE_OUTER_BOUND('',#3102,.T.); +#1984=FACE_OUTER_BOUND('',#3103,.T.); +#1985=FACE_OUTER_BOUND('',#3104,.T.); +#1986=FACE_OUTER_BOUND('',#3105,.T.); +#1987=FACE_OUTER_BOUND('',#3106,.T.); +#1988=FACE_OUTER_BOUND('',#3107,.T.); +#1989=FACE_OUTER_BOUND('',#3109,.T.); +#1990=FACE_OUTER_BOUND('',#3110,.T.); +#1991=FACE_OUTER_BOUND('',#3111,.T.); +#1992=FACE_OUTER_BOUND('',#3112,.T.); +#1993=FACE_OUTER_BOUND('',#3113,.T.); +#1994=FACE_OUTER_BOUND('',#3114,.T.); +#1995=FACE_OUTER_BOUND('',#3115,.T.); +#1996=FACE_OUTER_BOUND('',#3116,.T.); +#1997=FACE_OUTER_BOUND('',#3118,.T.); +#1998=FACE_OUTER_BOUND('',#3120,.T.); +#1999=FACE_OUTER_BOUND('',#3121,.T.); +#2000=FACE_OUTER_BOUND('',#3122,.T.); +#2001=FACE_OUTER_BOUND('',#3123,.T.); +#2002=FACE_OUTER_BOUND('',#3124,.T.); +#2003=FACE_OUTER_BOUND('',#3125,.T.); +#2004=FACE_OUTER_BOUND('',#3126,.T.); +#2005=FACE_OUTER_BOUND('',#3127,.T.); +#2006=FACE_OUTER_BOUND('',#3128,.T.); +#2007=FACE_OUTER_BOUND('',#3129,.T.); +#2008=FACE_OUTER_BOUND('',#3130,.T.); +#2009=FACE_OUTER_BOUND('',#3131,.T.); +#2010=FACE_OUTER_BOUND('',#3132,.T.); +#2011=FACE_OUTER_BOUND('',#3133,.T.); +#2012=FACE_OUTER_BOUND('',#3134,.T.); +#2013=FACE_OUTER_BOUND('',#3135,.T.); +#2014=FACE_OUTER_BOUND('',#3136,.T.); +#2015=FACE_OUTER_BOUND('',#3137,.T.); +#2016=FACE_OUTER_BOUND('',#3138,.T.); +#2017=FACE_OUTER_BOUND('',#3139,.T.); +#2018=FACE_OUTER_BOUND('',#3140,.T.); +#2019=FACE_OUTER_BOUND('',#3141,.T.); +#2020=FACE_OUTER_BOUND('',#3142,.T.); +#2021=FACE_OUTER_BOUND('',#3143,.T.); +#2022=FACE_OUTER_BOUND('',#3144,.T.); +#2023=FACE_OUTER_BOUND('',#3145,.T.); +#2024=FACE_OUTER_BOUND('',#3146,.T.); +#2025=FACE_OUTER_BOUND('',#3147,.T.); +#2026=FACE_OUTER_BOUND('',#3148,.T.); +#2027=FACE_OUTER_BOUND('',#3149,.T.); +#2028=FACE_OUTER_BOUND('',#3150,.T.); +#2029=FACE_OUTER_BOUND('',#3151,.T.); +#2030=FACE_OUTER_BOUND('',#3152,.T.); +#2031=FACE_OUTER_BOUND('',#3153,.T.); +#2032=FACE_OUTER_BOUND('',#3154,.T.); +#2033=FACE_OUTER_BOUND('',#3155,.T.); +#2034=FACE_OUTER_BOUND('',#3156,.T.); +#2035=FACE_OUTER_BOUND('',#3157,.T.); +#2036=FACE_OUTER_BOUND('',#3158,.T.); +#2037=FACE_OUTER_BOUND('',#3159,.T.); +#2038=FACE_OUTER_BOUND('',#3160,.T.); +#2039=FACE_OUTER_BOUND('',#3161,.T.); +#2040=FACE_OUTER_BOUND('',#3162,.T.); +#2041=FACE_OUTER_BOUND('',#3163,.T.); +#2042=FACE_OUTER_BOUND('',#3164,.T.); +#2043=FACE_OUTER_BOUND('',#3165,.T.); +#2044=FACE_OUTER_BOUND('',#3166,.T.); +#2045=FACE_OUTER_BOUND('',#3167,.T.); +#2046=FACE_OUTER_BOUND('',#3168,.T.); +#2047=FACE_OUTER_BOUND('',#3169,.T.); +#2048=FACE_OUTER_BOUND('',#3170,.T.); +#2049=FACE_OUTER_BOUND('',#3171,.T.); +#2050=FACE_OUTER_BOUND('',#3172,.T.); +#2051=FACE_OUTER_BOUND('',#3173,.T.); +#2052=FACE_OUTER_BOUND('',#3174,.T.); +#2053=FACE_OUTER_BOUND('',#3175,.T.); +#2054=FACE_OUTER_BOUND('',#3176,.T.); +#2055=FACE_OUTER_BOUND('',#3177,.T.); +#2056=FACE_OUTER_BOUND('',#3178,.T.); +#2057=FACE_OUTER_BOUND('',#3179,.T.); +#2058=FACE_OUTER_BOUND('',#3180,.T.); +#2059=FACE_OUTER_BOUND('',#3181,.T.); +#2060=FACE_OUTER_BOUND('',#3182,.T.); +#2061=FACE_OUTER_BOUND('',#3183,.T.); +#2062=FACE_OUTER_BOUND('',#3184,.T.); +#2063=FACE_OUTER_BOUND('',#3185,.T.); +#2064=FACE_OUTER_BOUND('',#3186,.T.); +#2065=FACE_OUTER_BOUND('',#3187,.T.); +#2066=FACE_OUTER_BOUND('',#3188,.T.); +#2067=FACE_OUTER_BOUND('',#3189,.T.); +#2068=FACE_OUTER_BOUND('',#3190,.T.); +#2069=FACE_OUTER_BOUND('',#3191,.T.); +#2070=FACE_OUTER_BOUND('',#3192,.T.); +#2071=FACE_OUTER_BOUND('',#3193,.T.); +#2072=FACE_OUTER_BOUND('',#3194,.T.); +#2073=FACE_OUTER_BOUND('',#3195,.T.); +#2074=FACE_OUTER_BOUND('',#3196,.T.); +#2075=FACE_OUTER_BOUND('',#3197,.T.); +#2076=FACE_OUTER_BOUND('',#3198,.T.); +#2077=FACE_OUTER_BOUND('',#3199,.T.); +#2078=FACE_OUTER_BOUND('',#3200,.T.); +#2079=FACE_OUTER_BOUND('',#3201,.T.); +#2080=FACE_OUTER_BOUND('',#3202,.T.); +#2081=FACE_OUTER_BOUND('',#3203,.T.); +#2082=FACE_OUTER_BOUND('',#3204,.T.); +#2083=FACE_OUTER_BOUND('',#3205,.T.); +#2084=FACE_OUTER_BOUND('',#3206,.T.); +#2085=FACE_OUTER_BOUND('',#3207,.T.); +#2086=FACE_OUTER_BOUND('',#3208,.T.); +#2087=FACE_OUTER_BOUND('',#3209,.T.); +#2088=FACE_OUTER_BOUND('',#3210,.T.); +#2089=FACE_OUTER_BOUND('',#3211,.T.); +#2090=FACE_OUTER_BOUND('',#3212,.T.); +#2091=FACE_OUTER_BOUND('',#3213,.T.); +#2092=FACE_OUTER_BOUND('',#3214,.T.); +#2093=FACE_OUTER_BOUND('',#3215,.T.); +#2094=FACE_OUTER_BOUND('',#3216,.T.); +#2095=FACE_OUTER_BOUND('',#3217,.T.); +#2096=FACE_OUTER_BOUND('',#3218,.T.); +#2097=FACE_OUTER_BOUND('',#3219,.T.); +#2098=FACE_OUTER_BOUND('',#3220,.T.); +#2099=FACE_OUTER_BOUND('',#3221,.T.); +#2100=FACE_OUTER_BOUND('',#3222,.T.); +#2101=FACE_OUTER_BOUND('',#3223,.T.); +#2102=FACE_OUTER_BOUND('',#3224,.T.); +#2103=FACE_OUTER_BOUND('',#3225,.T.); +#2104=FACE_OUTER_BOUND('',#3226,.T.); +#2105=FACE_OUTER_BOUND('',#3227,.T.); +#2106=FACE_OUTER_BOUND('',#3228,.T.); +#2107=FACE_OUTER_BOUND('',#3229,.T.); +#2108=FACE_OUTER_BOUND('',#3230,.T.); +#2109=FACE_OUTER_BOUND('',#3231,.T.); +#2110=FACE_OUTER_BOUND('',#3232,.T.); +#2111=FACE_OUTER_BOUND('',#3233,.T.); +#2112=FACE_OUTER_BOUND('',#3234,.T.); +#2113=FACE_OUTER_BOUND('',#3235,.T.); +#2114=FACE_OUTER_BOUND('',#3237,.T.); +#2115=FACE_OUTER_BOUND('',#3238,.T.); +#2116=FACE_OUTER_BOUND('',#3239,.T.); +#2117=FACE_OUTER_BOUND('',#3240,.T.); +#2118=FACE_OUTER_BOUND('',#3241,.T.); +#2119=FACE_OUTER_BOUND('',#3242,.T.); +#2120=FACE_OUTER_BOUND('',#3243,.T.); +#2121=FACE_OUTER_BOUND('',#3244,.T.); +#2122=FACE_OUTER_BOUND('',#3246,.T.); +#2123=FACE_OUTER_BOUND('',#3248,.T.); +#2124=FACE_OUTER_BOUND('',#3249,.T.); +#2125=FACE_OUTER_BOUND('',#3250,.T.); +#2126=FACE_OUTER_BOUND('',#3252,.T.); +#2127=FACE_OUTER_BOUND('',#3253,.T.); +#2128=FACE_OUTER_BOUND('',#3255,.T.); +#2129=FACE_OUTER_BOUND('',#3256,.T.); +#2130=FACE_OUTER_BOUND('',#3258,.T.); +#2131=FACE_OUTER_BOUND('',#3259,.T.); +#2132=FACE_OUTER_BOUND('',#3261,.T.); +#2133=FACE_OUTER_BOUND('',#3263,.T.); +#2134=FACE_OUTER_BOUND('',#3264,.T.); +#2135=FACE_OUTER_BOUND('',#3265,.T.); +#2136=FACE_OUTER_BOUND('',#3267,.T.); +#2137=FACE_OUTER_BOUND('',#3269,.T.); +#2138=FACE_OUTER_BOUND('',#3270,.T.); +#2139=FACE_OUTER_BOUND('',#3271,.T.); +#2140=FACE_OUTER_BOUND('',#3273,.T.); +#2141=FACE_OUTER_BOUND('',#3275,.T.); +#2142=FACE_OUTER_BOUND('',#3276,.T.); +#2143=FACE_OUTER_BOUND('',#3277,.T.); +#2144=FACE_OUTER_BOUND('',#3279,.T.); +#2145=FACE_OUTER_BOUND('',#3281,.T.); +#2146=FACE_OUTER_BOUND('',#3282,.T.); +#2147=FACE_OUTER_BOUND('',#3284,.T.); +#2148=FACE_OUTER_BOUND('',#3285,.T.); +#2149=FACE_OUTER_BOUND('',#3286,.T.); +#2150=FACE_OUTER_BOUND('',#3288,.T.); +#2151=FACE_OUTER_BOUND('',#3289,.T.); +#2152=FACE_OUTER_BOUND('',#3290,.T.); +#2153=FACE_OUTER_BOUND('',#3291,.T.); +#2154=FACE_OUTER_BOUND('',#3292,.T.); +#2155=FACE_OUTER_BOUND('',#3293,.T.); +#2156=FACE_OUTER_BOUND('',#3294,.T.); +#2157=FACE_OUTER_BOUND('',#3295,.T.); +#2158=FACE_OUTER_BOUND('',#3296,.T.); +#2159=FACE_OUTER_BOUND('',#3297,.T.); +#2160=FACE_OUTER_BOUND('',#3298,.T.); +#2161=FACE_OUTER_BOUND('',#3299,.T.); +#2162=FACE_OUTER_BOUND('',#3300,.T.); +#2163=FACE_OUTER_BOUND('',#3301,.T.); +#2164=FACE_OUTER_BOUND('',#3302,.T.); +#2165=FACE_OUTER_BOUND('',#3303,.T.); +#2166=FACE_OUTER_BOUND('',#3304,.T.); +#2167=FACE_OUTER_BOUND('',#3305,.T.); +#2168=FACE_OUTER_BOUND('',#3306,.T.); +#2169=FACE_OUTER_BOUND('',#3307,.T.); +#2170=FACE_OUTER_BOUND('',#3308,.T.); +#2171=FACE_OUTER_BOUND('',#3309,.T.); +#2172=FACE_OUTER_BOUND('',#3310,.T.); +#2173=FACE_OUTER_BOUND('',#3311,.T.); +#2174=FACE_OUTER_BOUND('',#3312,.T.); +#2175=FACE_OUTER_BOUND('',#3313,.T.); +#2176=FACE_OUTER_BOUND('',#3314,.T.); +#2177=FACE_OUTER_BOUND('',#3315,.T.); +#2178=FACE_OUTER_BOUND('',#3316,.T.); +#2179=FACE_OUTER_BOUND('',#3317,.T.); +#2180=FACE_OUTER_BOUND('',#3318,.T.); +#2181=FACE_OUTER_BOUND('',#3319,.T.); +#2182=FACE_OUTER_BOUND('',#3320,.T.); +#2183=FACE_OUTER_BOUND('',#3321,.T.); +#2184=FACE_OUTER_BOUND('',#3322,.T.); +#2185=FACE_OUTER_BOUND('',#3323,.T.); +#2186=FACE_OUTER_BOUND('',#3324,.T.); +#2187=FACE_OUTER_BOUND('',#3325,.T.); +#2188=FACE_OUTER_BOUND('',#3326,.T.); +#2189=FACE_OUTER_BOUND('',#3327,.T.); +#2190=FACE_OUTER_BOUND('',#3328,.T.); +#2191=FACE_OUTER_BOUND('',#3329,.T.); +#2192=FACE_OUTER_BOUND('',#3330,.T.); +#2193=FACE_OUTER_BOUND('',#3331,.T.); +#2194=FACE_OUTER_BOUND('',#3332,.T.); +#2195=FACE_OUTER_BOUND('',#3333,.T.); +#2196=FACE_OUTER_BOUND('',#3334,.T.); +#2197=FACE_OUTER_BOUND('',#3335,.T.); +#2198=FACE_OUTER_BOUND('',#3336,.T.); +#2199=FACE_OUTER_BOUND('',#3337,.T.); +#2200=FACE_OUTER_BOUND('',#3338,.T.); +#2201=FACE_OUTER_BOUND('',#3339,.T.); +#2202=FACE_OUTER_BOUND('',#3340,.T.); +#2203=FACE_OUTER_BOUND('',#3341,.T.); +#2204=FACE_OUTER_BOUND('',#3342,.T.); +#2205=FACE_OUTER_BOUND('',#3343,.T.); +#2206=FACE_OUTER_BOUND('',#3344,.T.); +#2207=FACE_OUTER_BOUND('',#3345,.T.); +#2208=FACE_OUTER_BOUND('',#3346,.T.); +#2209=FACE_OUTER_BOUND('',#3347,.T.); +#2210=FACE_OUTER_BOUND('',#3348,.T.); +#2211=FACE_OUTER_BOUND('',#3349,.T.); +#2212=FACE_OUTER_BOUND('',#3350,.T.); +#2213=FACE_OUTER_BOUND('',#3351,.T.); +#2214=FACE_OUTER_BOUND('',#3352,.T.); +#2215=FACE_OUTER_BOUND('',#3353,.T.); +#2216=FACE_OUTER_BOUND('',#3354,.T.); +#2217=FACE_OUTER_BOUND('',#3355,.T.); +#2218=FACE_OUTER_BOUND('',#3356,.T.); +#2219=FACE_OUTER_BOUND('',#3357,.T.); +#2220=FACE_OUTER_BOUND('',#3358,.T.); +#2221=FACE_OUTER_BOUND('',#3359,.T.); +#2222=FACE_OUTER_BOUND('',#3360,.T.); +#2223=FACE_OUTER_BOUND('',#3361,.T.); +#2224=FACE_OUTER_BOUND('',#3362,.T.); +#2225=FACE_OUTER_BOUND('',#3363,.T.); +#2226=FACE_OUTER_BOUND('',#3364,.T.); +#2227=FACE_OUTER_BOUND('',#3365,.T.); +#2228=FACE_OUTER_BOUND('',#3366,.T.); +#2229=FACE_OUTER_BOUND('',#3367,.T.); +#2230=FACE_OUTER_BOUND('',#3368,.T.); +#2231=FACE_OUTER_BOUND('',#3369,.T.); +#2232=FACE_OUTER_BOUND('',#3370,.T.); +#2233=FACE_OUTER_BOUND('',#3371,.T.); +#2234=FACE_OUTER_BOUND('',#3372,.T.); +#2235=FACE_OUTER_BOUND('',#3373,.T.); +#2236=FACE_OUTER_BOUND('',#3374,.T.); +#2237=FACE_OUTER_BOUND('',#3375,.T.); +#2238=FACE_OUTER_BOUND('',#3376,.T.); +#2239=FACE_OUTER_BOUND('',#3377,.T.); +#2240=FACE_OUTER_BOUND('',#3378,.T.); +#2241=FACE_OUTER_BOUND('',#3379,.T.); +#2242=FACE_OUTER_BOUND('',#3380,.T.); +#2243=FACE_OUTER_BOUND('',#3381,.T.); +#2244=FACE_OUTER_BOUND('',#3382,.T.); +#2245=FACE_OUTER_BOUND('',#3383,.T.); +#2246=FACE_OUTER_BOUND('',#3385,.T.); +#2247=FACE_OUTER_BOUND('',#3386,.T.); +#2248=FACE_OUTER_BOUND('',#3387,.T.); +#2249=FACE_OUTER_BOUND('',#3389,.T.); +#2250=FACE_OUTER_BOUND('',#3390,.T.); +#2251=FACE_OUTER_BOUND('',#3391,.T.); +#2252=FACE_OUTER_BOUND('',#3393,.T.); +#2253=FACE_OUTER_BOUND('',#3394,.T.); +#2254=FACE_OUTER_BOUND('',#3395,.T.); +#2255=FACE_OUTER_BOUND('',#3397,.T.); +#2256=FACE_OUTER_BOUND('',#3398,.T.); +#2257=FACE_OUTER_BOUND('',#3399,.T.); +#2258=FACE_OUTER_BOUND('',#3401,.T.); +#2259=FACE_OUTER_BOUND('',#3402,.T.); +#2260=FACE_OUTER_BOUND('',#3403,.T.); +#2261=FACE_OUTER_BOUND('',#3405,.T.); +#2262=FACE_OUTER_BOUND('',#3406,.T.); +#2263=FACE_OUTER_BOUND('',#3407,.T.); +#2264=FACE_OUTER_BOUND('',#3409,.T.); +#2265=FACE_OUTER_BOUND('',#3410,.T.); +#2266=FACE_OUTER_BOUND('',#3411,.T.); +#2267=FACE_OUTER_BOUND('',#3413,.T.); +#2268=FACE_OUTER_BOUND('',#3414,.T.); +#2269=FACE_OUTER_BOUND('',#3415,.T.); +#2270=FACE_OUTER_BOUND('',#3417,.T.); +#2271=FACE_OUTER_BOUND('',#3418,.T.); +#2272=FACE_OUTER_BOUND('',#3420,.T.); +#2273=FACE_OUTER_BOUND('',#3421,.T.); +#2274=FACE_OUTER_BOUND('',#3422,.T.); +#2275=FACE_OUTER_BOUND('',#3424,.T.); +#2276=FACE_OUTER_BOUND('',#3425,.T.); +#2277=FACE_OUTER_BOUND('',#3426,.T.); +#2278=FACE_OUTER_BOUND('',#3428,.T.); +#2279=FACE_OUTER_BOUND('',#3429,.T.); +#2280=FACE_OUTER_BOUND('',#3430,.T.); +#2281=FACE_OUTER_BOUND('',#3432,.T.); +#2282=FACE_OUTER_BOUND('',#3433,.T.); +#2283=FACE_OUTER_BOUND('',#3434,.T.); +#2284=FACE_OUTER_BOUND('',#3436,.T.); +#2285=FACE_OUTER_BOUND('',#3437,.T.); +#2286=FACE_OUTER_BOUND('',#3438,.T.); +#2287=FACE_OUTER_BOUND('',#3440,.T.); +#2288=FACE_OUTER_BOUND('',#3441,.T.); +#2289=FACE_OUTER_BOUND('',#3442,.T.); +#2290=FACE_OUTER_BOUND('',#3444,.T.); +#2291=FACE_OUTER_BOUND('',#3445,.T.); +#2292=FACE_OUTER_BOUND('',#3446,.T.); +#2293=FACE_OUTER_BOUND('',#3447,.T.); +#2294=FACE_OUTER_BOUND('',#3448,.T.); +#2295=EDGE_LOOP('',(#11747,#11748,#11749,#11750)); +#2296=EDGE_LOOP('',(#11751,#11752,#11753,#11754)); +#2297=EDGE_LOOP('',(#11755,#11756,#11757,#11758)); +#2298=EDGE_LOOP('',(#11759,#11760,#11761,#11762)); +#2299=EDGE_LOOP('',(#11763,#11764,#11765,#11766)); +#2300=EDGE_LOOP('',(#11767,#11768,#11769,#11770)); +#2301=EDGE_LOOP('',(#11771,#11772,#11773,#11774)); +#2302=EDGE_LOOP('',(#11775,#11776,#11777,#11778)); +#2303=EDGE_LOOP('',(#11779,#11780,#11781,#11782)); +#2304=EDGE_LOOP('',(#11783,#11784,#11785,#11786)); +#2305=EDGE_LOOP('',(#11787,#11788,#11789,#11790)); +#2306=EDGE_LOOP('',(#11791,#11792,#11793,#11794)); +#2307=EDGE_LOOP('',(#11795,#11796,#11797,#11798)); +#2308=EDGE_LOOP('',(#11799,#11800,#11801,#11802)); +#2309=EDGE_LOOP('',(#11803,#11804,#11805,#11806)); +#2310=EDGE_LOOP('',(#11807,#11808,#11809,#11810)); +#2311=EDGE_LOOP('',(#11811,#11812,#11813,#11814)); +#2312=EDGE_LOOP('',(#11815,#11816,#11817,#11818)); +#2313=EDGE_LOOP('',(#11819,#11820,#11821,#11822)); +#2314=EDGE_LOOP('',(#11823,#11824,#11825,#11826)); +#2315=EDGE_LOOP('',(#11827,#11828,#11829,#11830)); +#2316=EDGE_LOOP('',(#11831,#11832,#11833,#11834)); +#2317=EDGE_LOOP('',(#11835,#11836,#11837,#11838)); +#2318=EDGE_LOOP('',(#11839,#11840,#11841,#11842)); +#2319=EDGE_LOOP('',(#11843,#11844,#11845,#11846)); +#2320=EDGE_LOOP('',(#11847,#11848,#11849,#11850)); +#2321=EDGE_LOOP('',(#11851,#11852,#11853,#11854)); +#2322=EDGE_LOOP('',(#11855,#11856,#11857,#11858)); +#2323=EDGE_LOOP('',(#11859,#11860,#11861,#11862)); +#2324=EDGE_LOOP('',(#11863,#11864,#11865,#11866)); +#2325=EDGE_LOOP('',(#11867,#11868,#11869,#11870)); +#2326=EDGE_LOOP('',(#11871,#11872,#11873,#11874)); +#2327=EDGE_LOOP('',(#11875,#11876,#11877,#11878)); +#2328=EDGE_LOOP('',(#11879,#11880,#11881,#11882)); +#2329=EDGE_LOOP('',(#11883,#11884)); +#2330=EDGE_LOOP('',(#11885,#11886)); +#2331=EDGE_LOOP('',(#11887,#11888,#11889,#11890)); +#2332=EDGE_LOOP('',(#11891,#11892,#11893,#11894)); +#2333=EDGE_LOOP('',(#11895,#11896,#11897,#11898)); +#2334=EDGE_LOOP('',(#11899,#11900,#11901,#11902)); +#2335=EDGE_LOOP('',(#11903,#11904,#11905,#11906)); +#2336=EDGE_LOOP('',(#11907,#11908,#11909,#11910)); +#2337=EDGE_LOOP('',(#11911,#11912,#11913,#11914)); +#2338=EDGE_LOOP('',(#11915,#11916,#11917,#11918)); +#2339=EDGE_LOOP('',(#11919,#11920,#11921,#11922)); +#2340=EDGE_LOOP('',(#11923,#11924,#11925,#11926)); +#2341=EDGE_LOOP('',(#11927,#11928,#11929,#11930)); +#2342=EDGE_LOOP('',(#11931,#11932,#11933,#11934)); +#2343=EDGE_LOOP('',(#11935,#11936,#11937,#11938)); +#2344=EDGE_LOOP('',(#11939,#11940,#11941,#11942)); +#2345=EDGE_LOOP('',(#11943,#11944,#11945,#11946)); +#2346=EDGE_LOOP('',(#11947,#11948,#11949,#11950)); +#2347=EDGE_LOOP('',(#11951,#11952,#11953,#11954)); +#2348=EDGE_LOOP('',(#11955,#11956,#11957,#11958)); +#2349=EDGE_LOOP('',(#11959,#11960,#11961,#11962)); +#2350=EDGE_LOOP('',(#11963,#11964,#11965,#11966)); +#2351=EDGE_LOOP('',(#11967,#11968,#11969,#11970)); +#2352=EDGE_LOOP('',(#11971,#11972,#11973,#11974)); +#2353=EDGE_LOOP('',(#11975,#11976,#11977,#11978)); +#2354=EDGE_LOOP('',(#11979,#11980,#11981,#11982)); +#2355=EDGE_LOOP('',(#11983,#11984,#11985,#11986)); +#2356=EDGE_LOOP('',(#11987,#11988,#11989,#11990)); +#2357=EDGE_LOOP('',(#11991,#11992,#11993,#11994)); +#2358=EDGE_LOOP('',(#11995,#11996,#11997,#11998)); +#2359=EDGE_LOOP('',(#11999,#12000,#12001,#12002)); +#2360=EDGE_LOOP('',(#12003,#12004,#12005,#12006)); +#2361=EDGE_LOOP('',(#12007,#12008,#12009,#12010)); +#2362=EDGE_LOOP('',(#12011,#12012,#12013,#12014)); +#2363=EDGE_LOOP('',(#12015,#12016,#12017,#12018)); +#2364=EDGE_LOOP('',(#12019,#12020,#12021,#12022)); +#2365=EDGE_LOOP('',(#12023,#12024,#12025,#12026)); +#2366=EDGE_LOOP('',(#12027,#12028,#12029,#12030)); +#2367=EDGE_LOOP('',(#12031,#12032,#12033,#12034)); +#2368=EDGE_LOOP('',(#12035,#12036,#12037,#12038)); +#2369=EDGE_LOOP('',(#12039,#12040,#12041,#12042)); +#2370=EDGE_LOOP('',(#12043,#12044,#12045,#12046)); +#2371=EDGE_LOOP('',(#12047,#12048,#12049,#12050)); +#2372=EDGE_LOOP('',(#12051,#12052,#12053,#12054)); +#2373=EDGE_LOOP('',(#12055,#12056,#12057,#12058)); +#2374=EDGE_LOOP('',(#12059,#12060,#12061,#12062)); +#2375=EDGE_LOOP('',(#12063,#12064,#12065,#12066)); +#2376=EDGE_LOOP('',(#12067,#12068,#12069,#12070)); +#2377=EDGE_LOOP('',(#12071,#12072,#12073,#12074)); +#2378=EDGE_LOOP('',(#12075,#12076,#12077,#12078)); +#2379=EDGE_LOOP('',(#12079,#12080,#12081,#12082)); +#2380=EDGE_LOOP('',(#12083,#12084,#12085,#12086)); +#2381=EDGE_LOOP('',(#12087,#12088,#12089,#12090)); +#2382=EDGE_LOOP('',(#12091,#12092,#12093,#12094)); +#2383=EDGE_LOOP('',(#12095,#12096,#12097,#12098)); +#2384=EDGE_LOOP('',(#12099,#12100,#12101,#12102)); +#2385=EDGE_LOOP('',(#12103,#12104,#12105,#12106)); +#2386=EDGE_LOOP('',(#12107,#12108,#12109,#12110)); +#2387=EDGE_LOOP('',(#12111,#12112,#12113,#12114)); +#2388=EDGE_LOOP('',(#12115,#12116,#12117,#12118)); +#2389=EDGE_LOOP('',(#12119,#12120,#12121,#12122)); +#2390=EDGE_LOOP('',(#12123,#12124,#12125,#12126)); +#2391=EDGE_LOOP('',(#12127,#12128,#12129,#12130)); +#2392=EDGE_LOOP('',(#12131,#12132,#12133,#12134)); +#2393=EDGE_LOOP('',(#12135,#12136,#12137,#12138)); +#2394=EDGE_LOOP('',(#12139,#12140,#12141,#12142)); +#2395=EDGE_LOOP('',(#12143,#12144,#12145,#12146)); +#2396=EDGE_LOOP('',(#12147,#12148,#12149,#12150)); +#2397=EDGE_LOOP('',(#12151,#12152,#12153,#12154)); +#2398=EDGE_LOOP('',(#12155,#12156,#12157,#12158)); +#2399=EDGE_LOOP('',(#12159,#12160,#12161,#12162)); +#2400=EDGE_LOOP('',(#12163,#12164,#12165,#12166)); +#2401=EDGE_LOOP('',(#12167,#12168,#12169,#12170)); +#2402=EDGE_LOOP('',(#12171,#12172,#12173,#12174)); +#2403=EDGE_LOOP('',(#12175,#12176,#12177,#12178)); +#2404=EDGE_LOOP('',(#12179,#12180,#12181,#12182)); +#2405=EDGE_LOOP('',(#12183,#12184,#12185,#12186)); +#2406=EDGE_LOOP('',(#12187,#12188,#12189,#12190)); +#2407=EDGE_LOOP('',(#12191,#12192,#12193,#12194)); +#2408=EDGE_LOOP('',(#12195,#12196,#12197,#12198)); +#2409=EDGE_LOOP('',(#12199,#12200,#12201,#12202)); +#2410=EDGE_LOOP('',(#12203,#12204,#12205,#12206)); +#2411=EDGE_LOOP('',(#12207,#12208,#12209,#12210)); +#2412=EDGE_LOOP('',(#12211,#12212,#12213,#12214)); +#2413=EDGE_LOOP('',(#12215,#12216,#12217,#12218)); +#2414=EDGE_LOOP('',(#12219,#12220,#12221,#12222)); +#2415=EDGE_LOOP('',(#12223,#12224,#12225,#12226)); +#2416=EDGE_LOOP('',(#12227,#12228,#12229,#12230)); +#2417=EDGE_LOOP('',(#12231,#12232,#12233,#12234)); +#2418=EDGE_LOOP('',(#12235,#12236,#12237,#12238)); +#2419=EDGE_LOOP('',(#12239,#12240,#12241,#12242)); +#2420=EDGE_LOOP('',(#12243,#12244,#12245,#12246)); +#2421=EDGE_LOOP('',(#12247,#12248,#12249,#12250)); +#2422=EDGE_LOOP('',(#12251,#12252,#12253,#12254)); +#2423=EDGE_LOOP('',(#12255,#12256,#12257,#12258)); +#2424=EDGE_LOOP('',(#12259,#12260,#12261,#12262)); +#2425=EDGE_LOOP('',(#12263,#12264,#12265,#12266)); +#2426=EDGE_LOOP('',(#12267,#12268,#12269,#12270)); +#2427=EDGE_LOOP('',(#12271,#12272,#12273,#12274)); +#2428=EDGE_LOOP('',(#12275,#12276,#12277,#12278)); +#2429=EDGE_LOOP('',(#12279,#12280,#12281,#12282)); +#2430=EDGE_LOOP('',(#12283,#12284,#12285,#12286)); +#2431=EDGE_LOOP('',(#12287,#12288,#12289,#12290)); +#2432=EDGE_LOOP('',(#12291,#12292,#12293,#12294)); +#2433=EDGE_LOOP('',(#12295,#12296,#12297,#12298)); +#2434=EDGE_LOOP('',(#12299,#12300,#12301,#12302)); +#2435=EDGE_LOOP('',(#12303,#12304,#12305,#12306)); +#2436=EDGE_LOOP('',(#12307,#12308,#12309,#12310)); +#2437=EDGE_LOOP('',(#12311,#12312,#12313,#12314)); +#2438=EDGE_LOOP('',(#12315,#12316,#12317,#12318)); +#2439=EDGE_LOOP('',(#12319,#12320,#12321,#12322)); +#2440=EDGE_LOOP('',(#12323,#12324,#12325,#12326)); +#2441=EDGE_LOOP('',(#12327,#12328,#12329,#12330)); +#2442=EDGE_LOOP('',(#12331,#12332,#12333,#12334)); +#2443=EDGE_LOOP('',(#12335,#12336,#12337,#12338)); +#2444=EDGE_LOOP('',(#12339,#12340,#12341,#12342)); +#2445=EDGE_LOOP('',(#12343,#12344,#12345,#12346)); +#2446=EDGE_LOOP('',(#12347,#12348,#12349,#12350)); +#2447=EDGE_LOOP('',(#12351,#12352,#12353,#12354)); +#2448=EDGE_LOOP('',(#12355,#12356,#12357,#12358)); +#2449=EDGE_LOOP('',(#12359,#12360,#12361,#12362)); +#2450=EDGE_LOOP('',(#12363,#12364,#12365,#12366)); +#2451=EDGE_LOOP('',(#12367,#12368,#12369,#12370)); +#2452=EDGE_LOOP('',(#12371,#12372,#12373,#12374)); +#2453=EDGE_LOOP('',(#12375,#12376,#12377,#12378)); +#2454=EDGE_LOOP('',(#12379,#12380,#12381,#12382)); +#2455=EDGE_LOOP('',(#12383,#12384,#12385,#12386)); +#2456=EDGE_LOOP('',(#12387,#12388,#12389,#12390)); +#2457=EDGE_LOOP('',(#12391,#12392,#12393,#12394)); +#2458=EDGE_LOOP('',(#12395,#12396,#12397,#12398)); +#2459=EDGE_LOOP('',(#12399,#12400,#12401,#12402)); +#2460=EDGE_LOOP('',(#12403,#12404,#12405,#12406)); +#2461=EDGE_LOOP('',(#12407,#12408,#12409,#12410)); +#2462=EDGE_LOOP('',(#12411,#12412,#12413,#12414)); +#2463=EDGE_LOOP('',(#12415,#12416,#12417,#12418)); +#2464=EDGE_LOOP('',(#12419,#12420,#12421,#12422)); +#2465=EDGE_LOOP('',(#12423,#12424,#12425,#12426)); +#2466=EDGE_LOOP('',(#12427,#12428,#12429,#12430)); +#2467=EDGE_LOOP('',(#12431,#12432,#12433,#12434)); +#2468=EDGE_LOOP('',(#12435,#12436,#12437,#12438)); +#2469=EDGE_LOOP('',(#12439,#12440,#12441,#12442)); +#2470=EDGE_LOOP('',(#12443,#12444,#12445,#12446)); +#2471=EDGE_LOOP('',(#12447,#12448,#12449,#12450)); +#2472=EDGE_LOOP('',(#12451,#12452,#12453,#12454)); +#2473=EDGE_LOOP('',(#12455,#12456,#12457,#12458)); +#2474=EDGE_LOOP('',(#12459,#12460,#12461,#12462)); +#2475=EDGE_LOOP('',(#12463,#12464,#12465,#12466)); +#2476=EDGE_LOOP('',(#12467,#12468,#12469,#12470)); +#2477=EDGE_LOOP('',(#12471,#12472,#12473,#12474)); +#2478=EDGE_LOOP('',(#12475,#12476,#12477,#12478)); +#2479=EDGE_LOOP('',(#12479,#12480,#12481,#12482)); +#2480=EDGE_LOOP('',(#12483,#12484,#12485,#12486)); +#2481=EDGE_LOOP('',(#12487,#12488,#12489,#12490)); +#2482=EDGE_LOOP('',(#12491,#12492,#12493,#12494)); +#2483=EDGE_LOOP('',(#12495,#12496,#12497,#12498)); +#2484=EDGE_LOOP('',(#12499,#12500,#12501,#12502)); +#2485=EDGE_LOOP('',(#12503,#12504,#12505,#12506)); +#2486=EDGE_LOOP('',(#12507,#12508,#12509,#12510)); +#2487=EDGE_LOOP('',(#12511,#12512,#12513,#12514)); +#2488=EDGE_LOOP('',(#12515,#12516,#12517,#12518)); +#2489=EDGE_LOOP('',(#12519,#12520,#12521,#12522)); +#2490=EDGE_LOOP('',(#12523,#12524,#12525,#12526)); +#2491=EDGE_LOOP('',(#12527,#12528,#12529,#12530)); +#2492=EDGE_LOOP('',(#12531,#12532,#12533,#12534)); +#2493=EDGE_LOOP('',(#12535,#12536,#12537,#12538)); +#2494=EDGE_LOOP('',(#12539,#12540,#12541,#12542)); +#2495=EDGE_LOOP('',(#12543,#12544,#12545,#12546)); +#2496=EDGE_LOOP('',(#12547,#12548,#12549,#12550)); +#2497=EDGE_LOOP('',(#12551,#12552,#12553,#12554)); +#2498=EDGE_LOOP('',(#12555,#12556,#12557,#12558)); +#2499=EDGE_LOOP('',(#12559,#12560,#12561,#12562)); +#2500=EDGE_LOOP('',(#12563,#12564,#12565,#12566)); +#2501=EDGE_LOOP('',(#12567,#12568,#12569,#12570)); +#2502=EDGE_LOOP('',(#12571,#12572,#12573,#12574)); +#2503=EDGE_LOOP('',(#12575,#12576,#12577,#12578)); +#2504=EDGE_LOOP('',(#12579,#12580,#12581,#12582)); +#2505=EDGE_LOOP('',(#12583,#12584,#12585,#12586)); +#2506=EDGE_LOOP('',(#12587,#12588,#12589,#12590)); +#2507=EDGE_LOOP('',(#12591,#12592,#12593,#12594)); +#2508=EDGE_LOOP('',(#12595,#12596,#12597,#12598)); +#2509=EDGE_LOOP('',(#12599,#12600,#12601,#12602)); +#2510=EDGE_LOOP('',(#12603,#12604,#12605,#12606)); +#2511=EDGE_LOOP('',(#12607,#12608,#12609,#12610)); +#2512=EDGE_LOOP('',(#12611,#12612,#12613,#12614)); +#2513=EDGE_LOOP('',(#12615,#12616,#12617,#12618)); +#2514=EDGE_LOOP('',(#12619,#12620,#12621,#12622)); +#2515=EDGE_LOOP('',(#12623,#12624,#12625,#12626)); +#2516=EDGE_LOOP('',(#12627,#12628,#12629,#12630)); +#2517=EDGE_LOOP('',(#12631,#12632,#12633,#12634)); +#2518=EDGE_LOOP('',(#12635,#12636,#12637,#12638)); +#2519=EDGE_LOOP('',(#12639,#12640,#12641,#12642)); +#2520=EDGE_LOOP('',(#12643,#12644,#12645,#12646)); +#2521=EDGE_LOOP('',(#12647,#12648,#12649,#12650)); +#2522=EDGE_LOOP('',(#12651,#12652,#12653,#12654)); +#2523=EDGE_LOOP('',(#12655,#12656,#12657,#12658)); +#2524=EDGE_LOOP('',(#12659,#12660,#12661,#12662)); +#2525=EDGE_LOOP('',(#12663,#12664,#12665,#12666)); +#2526=EDGE_LOOP('',(#12667,#12668,#12669,#12670)); +#2527=EDGE_LOOP('',(#12671,#12672,#12673,#12674)); +#2528=EDGE_LOOP('',(#12675,#12676,#12677,#12678)); +#2529=EDGE_LOOP('',(#12679,#12680,#12681,#12682)); +#2530=EDGE_LOOP('',(#12683,#12684,#12685,#12686)); +#2531=EDGE_LOOP('',(#12687,#12688,#12689,#12690)); +#2532=EDGE_LOOP('',(#12691,#12692,#12693,#12694)); +#2533=EDGE_LOOP('',(#12695,#12696,#12697,#12698)); +#2534=EDGE_LOOP('',(#12699,#12700,#12701,#12702)); +#2535=EDGE_LOOP('',(#12703,#12704,#12705,#12706)); +#2536=EDGE_LOOP('',(#12707,#12708,#12709,#12710)); +#2537=EDGE_LOOP('',(#12711,#12712,#12713,#12714)); +#2538=EDGE_LOOP('',(#12715,#12716,#12717,#12718)); +#2539=EDGE_LOOP('',(#12719,#12720,#12721,#12722)); +#2540=EDGE_LOOP('',(#12723,#12724,#12725,#12726)); +#2541=EDGE_LOOP('',(#12727,#12728,#12729,#12730)); +#2542=EDGE_LOOP('',(#12731,#12732,#12733,#12734)); +#2543=EDGE_LOOP('',(#12735,#12736,#12737,#12738)); +#2544=EDGE_LOOP('',(#12739,#12740,#12741,#12742)); +#2545=EDGE_LOOP('',(#12743,#12744,#12745,#12746)); +#2546=EDGE_LOOP('',(#12747,#12748,#12749,#12750)); +#2547=EDGE_LOOP('',(#12751,#12752,#12753,#12754)); +#2548=EDGE_LOOP('',(#12755,#12756,#12757,#12758)); +#2549=EDGE_LOOP('',(#12759,#12760,#12761,#12762)); +#2550=EDGE_LOOP('',(#12763,#12764,#12765,#12766)); +#2551=EDGE_LOOP('',(#12767,#12768,#12769,#12770)); +#2552=EDGE_LOOP('',(#12771,#12772,#12773,#12774)); +#2553=EDGE_LOOP('',(#12775,#12776,#12777,#12778)); +#2554=EDGE_LOOP('',(#12779,#12780,#12781,#12782)); +#2555=EDGE_LOOP('',(#12783,#12784,#12785,#12786)); +#2556=EDGE_LOOP('',(#12787,#12788,#12789,#12790)); +#2557=EDGE_LOOP('',(#12791,#12792,#12793,#12794)); +#2558=EDGE_LOOP('',(#12795,#12796,#12797,#12798)); +#2559=EDGE_LOOP('',(#12799,#12800,#12801,#12802)); +#2560=EDGE_LOOP('',(#12803,#12804,#12805,#12806)); +#2561=EDGE_LOOP('',(#12807,#12808,#12809,#12810)); +#2562=EDGE_LOOP('',(#12811,#12812,#12813,#12814)); +#2563=EDGE_LOOP('',(#12815,#12816,#12817,#12818)); +#2564=EDGE_LOOP('',(#12819,#12820,#12821,#12822)); +#2565=EDGE_LOOP('',(#12823,#12824,#12825,#12826)); +#2566=EDGE_LOOP('',(#12827,#12828,#12829,#12830)); +#2567=EDGE_LOOP('',(#12831,#12832,#12833,#12834)); +#2568=EDGE_LOOP('',(#12835,#12836,#12837,#12838)); +#2569=EDGE_LOOP('',(#12839,#12840,#12841,#12842)); +#2570=EDGE_LOOP('',(#12843,#12844,#12845,#12846)); +#2571=EDGE_LOOP('',(#12847,#12848,#12849,#12850)); +#2572=EDGE_LOOP('',(#12851,#12852,#12853,#12854)); +#2573=EDGE_LOOP('',(#12855,#12856,#12857,#12858)); +#2574=EDGE_LOOP('',(#12859,#12860,#12861,#12862)); +#2575=EDGE_LOOP('',(#12863,#12864,#12865,#12866)); +#2576=EDGE_LOOP('',(#12867,#12868,#12869,#12870)); +#2577=EDGE_LOOP('',(#12871,#12872,#12873,#12874)); +#2578=EDGE_LOOP('',(#12875,#12876,#12877,#12878)); +#2579=EDGE_LOOP('',(#12879,#12880,#12881,#12882)); +#2580=EDGE_LOOP('',(#12883,#12884,#12885,#12886)); +#2581=EDGE_LOOP('',(#12887,#12888,#12889,#12890)); +#2582=EDGE_LOOP('',(#12891,#12892,#12893,#12894)); +#2583=EDGE_LOOP('',(#12895,#12896,#12897,#12898)); +#2584=EDGE_LOOP('',(#12899,#12900,#12901,#12902)); +#2585=EDGE_LOOP('',(#12903,#12904,#12905,#12906)); +#2586=EDGE_LOOP('',(#12907,#12908,#12909,#12910)); +#2587=EDGE_LOOP('',(#12911,#12912,#12913,#12914)); +#2588=EDGE_LOOP('',(#12915,#12916,#12917,#12918)); +#2589=EDGE_LOOP('',(#12919,#12920,#12921,#12922)); +#2590=EDGE_LOOP('',(#12923,#12924,#12925,#12926)); +#2591=EDGE_LOOP('',(#12927,#12928,#12929,#12930)); +#2592=EDGE_LOOP('',(#12931,#12932,#12933,#12934)); +#2593=EDGE_LOOP('',(#12935,#12936,#12937,#12938)); +#2594=EDGE_LOOP('',(#12939,#12940,#12941,#12942)); +#2595=EDGE_LOOP('',(#12943,#12944,#12945,#12946)); +#2596=EDGE_LOOP('',(#12947,#12948,#12949,#12950)); +#2597=EDGE_LOOP('',(#12951,#12952,#12953,#12954)); +#2598=EDGE_LOOP('',(#12955,#12956,#12957,#12958)); +#2599=EDGE_LOOP('',(#12959,#12960,#12961,#12962)); +#2600=EDGE_LOOP('',(#12963,#12964,#12965,#12966)); +#2601=EDGE_LOOP('',(#12967,#12968,#12969,#12970)); +#2602=EDGE_LOOP('',(#12971,#12972,#12973,#12974)); +#2603=EDGE_LOOP('',(#12975,#12976,#12977,#12978)); +#2604=EDGE_LOOP('',(#12979,#12980,#12981,#12982)); +#2605=EDGE_LOOP('',(#12983,#12984,#12985,#12986)); +#2606=EDGE_LOOP('',(#12987,#12988,#12989,#12990)); +#2607=EDGE_LOOP('',(#12991,#12992,#12993,#12994)); +#2608=EDGE_LOOP('',(#12995,#12996,#12997,#12998)); +#2609=EDGE_LOOP('',(#12999,#13000,#13001,#13002)); +#2610=EDGE_LOOP('',(#13003,#13004,#13005,#13006)); +#2611=EDGE_LOOP('',(#13007,#13008,#13009,#13010)); +#2612=EDGE_LOOP('',(#13011,#13012,#13013,#13014)); +#2613=EDGE_LOOP('',(#13015,#13016,#13017,#13018)); +#2614=EDGE_LOOP('',(#13019,#13020,#13021,#13022)); +#2615=EDGE_LOOP('',(#13023,#13024,#13025,#13026)); +#2616=EDGE_LOOP('',(#13027,#13028,#13029,#13030)); +#2617=EDGE_LOOP('',(#13031,#13032,#13033,#13034)); +#2618=EDGE_LOOP('',(#13035,#13036,#13037,#13038)); +#2619=EDGE_LOOP('',(#13039,#13040,#13041,#13042)); +#2620=EDGE_LOOP('',(#13043,#13044,#13045,#13046)); +#2621=EDGE_LOOP('',(#13047,#13048,#13049,#13050)); +#2622=EDGE_LOOP('',(#13051,#13052,#13053,#13054)); +#2623=EDGE_LOOP('',(#13055,#13056,#13057,#13058)); +#2624=EDGE_LOOP('',(#13059,#13060,#13061,#13062)); +#2625=EDGE_LOOP('',(#13063,#13064,#13065,#13066)); +#2626=EDGE_LOOP('',(#13067,#13068,#13069,#13070)); +#2627=EDGE_LOOP('',(#13071,#13072,#13073,#13074)); +#2628=EDGE_LOOP('',(#13075,#13076,#13077,#13078)); +#2629=EDGE_LOOP('',(#13079,#13080,#13081,#13082)); +#2630=EDGE_LOOP('',(#13083,#13084,#13085,#13086)); +#2631=EDGE_LOOP('',(#13087,#13088,#13089,#13090)); +#2632=EDGE_LOOP('',(#13091,#13092,#13093,#13094)); +#2633=EDGE_LOOP('',(#13095,#13096,#13097,#13098)); +#2634=EDGE_LOOP('',(#13099,#13100,#13101,#13102)); +#2635=EDGE_LOOP('',(#13103,#13104,#13105,#13106)); +#2636=EDGE_LOOP('',(#13107,#13108,#13109,#13110)); +#2637=EDGE_LOOP('',(#13111,#13112,#13113,#13114)); +#2638=EDGE_LOOP('',(#13115,#13116,#13117,#13118)); +#2639=EDGE_LOOP('',(#13119,#13120,#13121,#13122)); +#2640=EDGE_LOOP('',(#13123,#13124,#13125,#13126)); +#2641=EDGE_LOOP('',(#13127,#13128,#13129,#13130)); +#2642=EDGE_LOOP('',(#13131,#13132,#13133,#13134)); +#2643=EDGE_LOOP('',(#13135,#13136,#13137,#13138)); +#2644=EDGE_LOOP('',(#13139,#13140,#13141,#13142)); +#2645=EDGE_LOOP('',(#13143,#13144,#13145,#13146)); +#2646=EDGE_LOOP('',(#13147,#13148,#13149,#13150)); +#2647=EDGE_LOOP('',(#13151,#13152,#13153,#13154)); +#2648=EDGE_LOOP('',(#13155,#13156,#13157,#13158)); +#2649=EDGE_LOOP('',(#13159,#13160,#13161,#13162)); +#2650=EDGE_LOOP('',(#13163,#13164,#13165,#13166)); +#2651=EDGE_LOOP('',(#13167,#13168,#13169,#13170)); +#2652=EDGE_LOOP('',(#13171,#13172,#13173,#13174)); +#2653=EDGE_LOOP('',(#13175,#13176,#13177,#13178)); +#2654=EDGE_LOOP('',(#13179,#13180,#13181,#13182)); +#2655=EDGE_LOOP('',(#13183,#13184,#13185,#13186)); +#2656=EDGE_LOOP('',(#13187,#13188,#13189,#13190)); +#2657=EDGE_LOOP('',(#13191,#13192,#13193,#13194)); +#2658=EDGE_LOOP('',(#13195,#13196,#13197,#13198)); +#2659=EDGE_LOOP('',(#13199,#13200,#13201,#13202)); +#2660=EDGE_LOOP('',(#13203,#13204,#13205,#13206)); +#2661=EDGE_LOOP('',(#13207,#13208,#13209,#13210)); +#2662=EDGE_LOOP('',(#13211,#13212,#13213,#13214)); +#2663=EDGE_LOOP('',(#13215,#13216,#13217,#13218)); +#2664=EDGE_LOOP('',(#13219,#13220,#13221,#13222)); +#2665=EDGE_LOOP('',(#13223,#13224,#13225,#13226)); +#2666=EDGE_LOOP('',(#13227,#13228,#13229,#13230)); +#2667=EDGE_LOOP('',(#13231,#13232,#13233,#13234)); +#2668=EDGE_LOOP('',(#13235,#13236,#13237,#13238)); +#2669=EDGE_LOOP('',(#13239,#13240,#13241,#13242)); +#2670=EDGE_LOOP('',(#13243,#13244,#13245,#13246)); +#2671=EDGE_LOOP('',(#13247,#13248,#13249,#13250)); +#2672=EDGE_LOOP('',(#13251,#13252,#13253,#13254)); +#2673=EDGE_LOOP('',(#13255,#13256,#13257,#13258)); +#2674=EDGE_LOOP('',(#13259,#13260,#13261,#13262)); +#2675=EDGE_LOOP('',(#13263,#13264,#13265,#13266)); +#2676=EDGE_LOOP('',(#13267,#13268,#13269,#13270)); +#2677=EDGE_LOOP('',(#13271,#13272,#13273,#13274)); +#2678=EDGE_LOOP('',(#13275,#13276,#13277,#13278)); +#2679=EDGE_LOOP('',(#13279,#13280,#13281,#13282)); +#2680=EDGE_LOOP('',(#13283,#13284,#13285,#13286)); +#2681=EDGE_LOOP('',(#13287,#13288,#13289,#13290)); +#2682=EDGE_LOOP('',(#13291,#13292,#13293,#13294)); +#2683=EDGE_LOOP('',(#13295,#13296,#13297,#13298)); +#2684=EDGE_LOOP('',(#13299,#13300,#13301,#13302)); +#2685=EDGE_LOOP('',(#13303,#13304,#13305,#13306)); +#2686=EDGE_LOOP('',(#13307,#13308,#13309,#13310)); +#2687=EDGE_LOOP('',(#13311,#13312,#13313,#13314)); +#2688=EDGE_LOOP('',(#13315,#13316,#13317,#13318)); +#2689=EDGE_LOOP('',(#13319,#13320,#13321,#13322)); +#2690=EDGE_LOOP('',(#13323,#13324,#13325,#13326)); +#2691=EDGE_LOOP('',(#13327,#13328,#13329,#13330)); +#2692=EDGE_LOOP('',(#13331,#13332,#13333,#13334)); +#2693=EDGE_LOOP('',(#13335,#13336,#13337,#13338)); +#2694=EDGE_LOOP('',(#13339,#13340,#13341,#13342)); +#2695=EDGE_LOOP('',(#13343,#13344,#13345,#13346)); +#2696=EDGE_LOOP('',(#13347,#13348,#13349,#13350)); +#2697=EDGE_LOOP('',(#13351,#13352,#13353,#13354)); +#2698=EDGE_LOOP('',(#13355,#13356,#13357,#13358)); +#2699=EDGE_LOOP('',(#13359,#13360,#13361,#13362)); +#2700=EDGE_LOOP('',(#13363,#13364,#13365,#13366)); +#2701=EDGE_LOOP('',(#13367,#13368,#13369,#13370)); +#2702=EDGE_LOOP('',(#13371,#13372,#13373,#13374)); +#2703=EDGE_LOOP('',(#13375,#13376,#13377,#13378)); +#2704=EDGE_LOOP('',(#13379,#13380,#13381,#13382)); +#2705=EDGE_LOOP('',(#13383,#13384,#13385,#13386)); +#2706=EDGE_LOOP('',(#13387,#13388,#13389,#13390)); +#2707=EDGE_LOOP('',(#13391,#13392,#13393,#13394)); +#2708=EDGE_LOOP('',(#13395,#13396,#13397,#13398)); +#2709=EDGE_LOOP('',(#13399,#13400,#13401,#13402)); +#2710=EDGE_LOOP('',(#13403,#13404,#13405,#13406)); +#2711=EDGE_LOOP('',(#13407,#13408,#13409,#13410)); +#2712=EDGE_LOOP('',(#13411,#13412,#13413,#13414)); +#2713=EDGE_LOOP('',(#13415,#13416,#13417,#13418)); +#2714=EDGE_LOOP('',(#13419,#13420,#13421,#13422)); +#2715=EDGE_LOOP('',(#13423,#13424,#13425,#13426)); +#2716=EDGE_LOOP('',(#13427,#13428,#13429,#13430)); +#2717=EDGE_LOOP('',(#13431,#13432,#13433,#13434)); +#2718=EDGE_LOOP('',(#13435,#13436,#13437,#13438)); +#2719=EDGE_LOOP('',(#13439,#13440,#13441,#13442)); +#2720=EDGE_LOOP('',(#13443,#13444,#13445,#13446)); +#2721=EDGE_LOOP('',(#13447,#13448,#13449,#13450)); +#2722=EDGE_LOOP('',(#13451,#13452,#13453,#13454)); +#2723=EDGE_LOOP('',(#13455,#13456,#13457,#13458)); +#2724=EDGE_LOOP('',(#13459,#13460,#13461,#13462)); +#2725=EDGE_LOOP('',(#13463,#13464,#13465,#13466)); +#2726=EDGE_LOOP('',(#13467,#13468,#13469,#13470)); +#2727=EDGE_LOOP('',(#13471,#13472,#13473,#13474)); +#2728=EDGE_LOOP('',(#13475,#13476,#13477,#13478)); +#2729=EDGE_LOOP('',(#13479,#13480,#13481,#13482)); +#2730=EDGE_LOOP('',(#13483,#13484,#13485,#13486)); +#2731=EDGE_LOOP('',(#13487,#13488,#13489,#13490)); +#2732=EDGE_LOOP('',(#13491,#13492,#13493,#13494)); +#2733=EDGE_LOOP('',(#13495,#13496,#13497,#13498)); +#2734=EDGE_LOOP('',(#13499,#13500,#13501,#13502)); +#2735=EDGE_LOOP('',(#13503,#13504,#13505,#13506)); +#2736=EDGE_LOOP('',(#13507,#13508,#13509,#13510)); +#2737=EDGE_LOOP('',(#13511,#13512,#13513,#13514)); +#2738=EDGE_LOOP('',(#13515,#13516,#13517,#13518)); +#2739=EDGE_LOOP('',(#13519,#13520,#13521,#13522)); +#2740=EDGE_LOOP('',(#13523,#13524,#13525,#13526)); +#2741=EDGE_LOOP('',(#13527,#13528,#13529,#13530)); +#2742=EDGE_LOOP('',(#13531,#13532,#13533,#13534)); +#2743=EDGE_LOOP('',(#13535,#13536,#13537,#13538)); +#2744=EDGE_LOOP('',(#13539,#13540,#13541,#13542)); +#2745=EDGE_LOOP('',(#13543,#13544,#13545,#13546)); +#2746=EDGE_LOOP('',(#13547,#13548,#13549,#13550)); +#2747=EDGE_LOOP('',(#13551,#13552,#13553,#13554)); +#2748=EDGE_LOOP('',(#13555,#13556,#13557,#13558)); +#2749=EDGE_LOOP('',(#13559,#13560,#13561,#13562)); +#2750=EDGE_LOOP('',(#13563,#13564,#13565,#13566)); +#2751=EDGE_LOOP('',(#13567,#13568,#13569,#13570)); +#2752=EDGE_LOOP('',(#13571,#13572,#13573,#13574)); +#2753=EDGE_LOOP('',(#13575,#13576,#13577,#13578)); +#2754=EDGE_LOOP('',(#13579,#13580,#13581,#13582)); +#2755=EDGE_LOOP('',(#13583,#13584,#13585,#13586)); +#2756=EDGE_LOOP('',(#13587,#13588,#13589,#13590)); +#2757=EDGE_LOOP('',(#13591,#13592,#13593,#13594)); +#2758=EDGE_LOOP('',(#13595,#13596,#13597,#13598)); +#2759=EDGE_LOOP('',(#13599,#13600,#13601,#13602)); +#2760=EDGE_LOOP('',(#13603,#13604,#13605,#13606)); +#2761=EDGE_LOOP('',(#13607,#13608,#13609,#13610)); +#2762=EDGE_LOOP('',(#13611,#13612,#13613,#13614)); +#2763=EDGE_LOOP('',(#13615,#13616,#13617,#13618)); +#2764=EDGE_LOOP('',(#13619,#13620,#13621,#13622)); +#2765=EDGE_LOOP('',(#13623,#13624,#13625,#13626)); +#2766=EDGE_LOOP('',(#13627,#13628,#13629,#13630)); +#2767=EDGE_LOOP('',(#13631,#13632,#13633,#13634)); +#2768=EDGE_LOOP('',(#13635,#13636,#13637,#13638)); +#2769=EDGE_LOOP('',(#13639,#13640,#13641,#13642)); +#2770=EDGE_LOOP('',(#13643,#13644,#13645,#13646)); +#2771=EDGE_LOOP('',(#13647,#13648,#13649,#13650)); +#2772=EDGE_LOOP('',(#13651,#13652,#13653,#13654)); +#2773=EDGE_LOOP('',(#13655,#13656,#13657,#13658)); +#2774=EDGE_LOOP('',(#13659,#13660,#13661,#13662)); +#2775=EDGE_LOOP('',(#13663,#13664,#13665,#13666)); +#2776=EDGE_LOOP('',(#13667,#13668,#13669,#13670)); +#2777=EDGE_LOOP('',(#13671,#13672,#13673,#13674)); +#2778=EDGE_LOOP('',(#13675,#13676,#13677,#13678)); +#2779=EDGE_LOOP('',(#13679,#13680,#13681,#13682)); +#2780=EDGE_LOOP('',(#13683,#13684,#13685,#13686)); +#2781=EDGE_LOOP('',(#13687,#13688,#13689,#13690)); +#2782=EDGE_LOOP('',(#13691,#13692,#13693,#13694)); +#2783=EDGE_LOOP('',(#13695,#13696,#13697,#13698)); +#2784=EDGE_LOOP('',(#13699,#13700,#13701,#13702)); +#2785=EDGE_LOOP('',(#13703,#13704,#13705,#13706)); +#2786=EDGE_LOOP('',(#13707,#13708,#13709,#13710)); +#2787=EDGE_LOOP('',(#13711,#13712,#13713,#13714)); +#2788=EDGE_LOOP('',(#13715,#13716,#13717,#13718)); +#2789=EDGE_LOOP('',(#13719,#13720,#13721,#13722)); +#2790=EDGE_LOOP('',(#13723,#13724,#13725,#13726)); +#2791=EDGE_LOOP('',(#13727,#13728,#13729,#13730)); +#2792=EDGE_LOOP('',(#13731,#13732,#13733,#13734)); +#2793=EDGE_LOOP('',(#13735,#13736,#13737,#13738)); +#2794=EDGE_LOOP('',(#13739,#13740,#13741,#13742)); +#2795=EDGE_LOOP('',(#13743,#13744,#13745,#13746)); +#2796=EDGE_LOOP('',(#13747,#13748,#13749,#13750)); +#2797=EDGE_LOOP('',(#13751,#13752,#13753,#13754)); +#2798=EDGE_LOOP('',(#13755,#13756,#13757,#13758)); +#2799=EDGE_LOOP('',(#13759,#13760,#13761,#13762)); +#2800=EDGE_LOOP('',(#13763,#13764,#13765,#13766)); +#2801=EDGE_LOOP('',(#13767,#13768,#13769,#13770)); +#2802=EDGE_LOOP('',(#13771,#13772,#13773,#13774)); +#2803=EDGE_LOOP('',(#13775,#13776,#13777,#13778)); +#2804=EDGE_LOOP('',(#13779,#13780,#13781,#13782)); +#2805=EDGE_LOOP('',(#13783,#13784,#13785,#13786)); +#2806=EDGE_LOOP('',(#13787,#13788,#13789,#13790)); +#2807=EDGE_LOOP('',(#13791,#13792,#13793,#13794)); +#2808=EDGE_LOOP('',(#13795,#13796,#13797,#13798)); +#2809=EDGE_LOOP('',(#13799,#13800,#13801,#13802)); +#2810=EDGE_LOOP('',(#13803,#13804,#13805,#13806)); +#2811=EDGE_LOOP('',(#13807,#13808,#13809,#13810)); +#2812=EDGE_LOOP('',(#13811,#13812,#13813,#13814)); +#2813=EDGE_LOOP('',(#13815,#13816,#13817,#13818)); +#2814=EDGE_LOOP('',(#13819,#13820,#13821,#13822)); +#2815=EDGE_LOOP('',(#13823,#13824,#13825,#13826)); +#2816=EDGE_LOOP('',(#13827,#13828,#13829,#13830)); +#2817=EDGE_LOOP('',(#13831,#13832,#13833,#13834)); +#2818=EDGE_LOOP('',(#13835,#13836,#13837,#13838)); +#2819=EDGE_LOOP('',(#13839,#13840,#13841,#13842)); +#2820=EDGE_LOOP('',(#13843,#13844,#13845,#13846)); +#2821=EDGE_LOOP('',(#13847,#13848,#13849,#13850)); +#2822=EDGE_LOOP('',(#13851,#13852,#13853,#13854)); +#2823=EDGE_LOOP('',(#13855,#13856,#13857,#13858,#13859,#13860,#13861,#13862, +#13863,#13864,#13865,#13866,#13867,#13868)); +#2824=EDGE_LOOP('',(#13869,#13870,#13871,#13872,#13873,#13874,#13875,#13876, +#13877,#13878,#13879,#13880,#13881,#13882)); +#2825=EDGE_LOOP('',(#13883,#13884,#13885,#13886)); +#2826=EDGE_LOOP('',(#13887,#13888,#13889,#13890)); +#2827=EDGE_LOOP('',(#13891,#13892,#13893,#13894)); +#2828=EDGE_LOOP('',(#13895,#13896,#13897,#13898)); +#2829=EDGE_LOOP('',(#13899,#13900,#13901,#13902)); +#2830=EDGE_LOOP('',(#13903,#13904,#13905,#13906)); +#2831=EDGE_LOOP('',(#13907,#13908,#13909,#13910)); +#2832=EDGE_LOOP('',(#13911,#13912,#13913,#13914,#13915,#13916,#13917,#13918)); +#2833=EDGE_LOOP('',(#13919,#13920,#13921,#13922)); +#2834=EDGE_LOOP('',(#13923,#13924,#13925,#13926)); +#2835=EDGE_LOOP('',(#13927,#13928,#13929,#13930)); +#2836=EDGE_LOOP('',(#13931,#13932,#13933,#13934)); +#2837=EDGE_LOOP('',(#13935,#13936,#13937,#13938,#13939,#13940,#13941,#13942)); +#2838=EDGE_LOOP('',(#13943,#13944,#13945,#13946)); +#2839=EDGE_LOOP('',(#13947,#13948,#13949,#13950)); +#2840=EDGE_LOOP('',(#13951,#13952,#13953,#13954)); +#2841=EDGE_LOOP('',(#13955,#13956,#13957,#13958)); +#2842=EDGE_LOOP('',(#13959,#13960,#13961,#13962)); +#2843=EDGE_LOOP('',(#13963,#13964,#13965,#13966)); +#2844=EDGE_LOOP('',(#13967,#13968,#13969,#13970)); +#2845=EDGE_LOOP('',(#13971,#13972,#13973,#13974)); +#2846=EDGE_LOOP('',(#13975,#13976,#13977,#13978)); +#2847=EDGE_LOOP('',(#13979,#13980,#13981,#13982)); +#2848=EDGE_LOOP('',(#13983,#13984,#13985,#13986)); +#2849=EDGE_LOOP('',(#13987,#13988,#13989,#13990)); +#2850=EDGE_LOOP('',(#13991,#13992,#13993,#13994,#13995,#13996,#13997,#13998)); +#2851=EDGE_LOOP('',(#13999,#14000,#14001,#14002,#14003,#14004,#14005,#14006)); +#2852=EDGE_LOOP('',(#14007,#14008,#14009,#14010)); +#2853=EDGE_LOOP('',(#14011,#14012,#14013,#14014)); +#2854=EDGE_LOOP('',(#14015,#14016,#14017,#14018)); +#2855=EDGE_LOOP('',(#14019,#14020,#14021,#14022)); +#2856=EDGE_LOOP('',(#14023,#14024,#14025,#14026,#14027,#14028,#14029,#14030)); +#2857=EDGE_LOOP('',(#14031,#14032,#14033,#14034)); +#2858=EDGE_LOOP('',(#14035,#14036,#14037,#14038,#14039,#14040,#14041,#14042)); +#2859=EDGE_LOOP('',(#14043,#14044,#14045,#14046,#14047,#14048,#14049,#14050)); +#2860=EDGE_LOOP('',(#14051,#14052,#14053,#14054,#14055,#14056,#14057,#14058)); +#2861=EDGE_LOOP('',(#14059,#14060,#14061,#14062)); +#2862=EDGE_LOOP('',(#14063,#14064,#14065,#14066)); +#2863=EDGE_LOOP('',(#14067,#14068,#14069,#14070)); +#2864=EDGE_LOOP('',(#14071,#14072,#14073,#14074,#14075,#14076,#14077,#14078)); +#2865=EDGE_LOOP('',(#14079,#14080,#14081,#14082,#14083,#14084,#14085,#14086)); +#2866=EDGE_LOOP('',(#14087,#14088,#14089,#14090,#14091,#14092)); +#2867=EDGE_LOOP('',(#14093,#14094,#14095)); +#2868=EDGE_LOOP('',(#14096,#14097,#14098,#14099,#14100,#14101)); +#2869=EDGE_LOOP('',(#14102,#14103,#14104,#14105,#14106,#14107)); +#2870=EDGE_LOOP('',(#14108,#14109,#14110)); +#2871=EDGE_LOOP('',(#14111,#14112,#14113,#14114,#14115,#14116)); +#2872=EDGE_LOOP('',(#14117,#14118,#14119,#14120)); +#2873=EDGE_LOOP('',(#14121,#14122,#14123,#14124)); +#2874=EDGE_LOOP('',(#14125,#14126,#14127,#14128)); +#2875=EDGE_LOOP('',(#14129,#14130,#14131,#14132)); +#2876=EDGE_LOOP('',(#14133,#14134,#14135,#14136)); +#2877=EDGE_LOOP('',(#14137,#14138,#14139,#14140)); +#2878=EDGE_LOOP('',(#14141,#14142,#14143,#14144)); +#2879=EDGE_LOOP('',(#14145,#14146,#14147,#14148)); +#2880=EDGE_LOOP('',(#14149,#14150,#14151,#14152)); +#2881=EDGE_LOOP('',(#14153,#14154,#14155,#14156)); +#2882=EDGE_LOOP('',(#14157,#14158,#14159,#14160,#14161,#14162,#14163,#14164)); +#2883=EDGE_LOOP('',(#14165,#14166,#14167,#14168,#14169,#14170,#14171,#14172)); +#2884=EDGE_LOOP('',(#14173,#14174,#14175,#14176,#14177,#14178)); +#2885=EDGE_LOOP('',(#14179,#14180,#14181)); +#2886=EDGE_LOOP('',(#14182,#14183,#14184,#14185)); +#2887=EDGE_LOOP('',(#14186,#14187,#14188)); +#2888=EDGE_LOOP('',(#14189,#14190,#14191,#14192,#14193,#14194)); +#2889=EDGE_LOOP('',(#14195,#14196,#14197,#14198,#14199,#14200)); +#2890=EDGE_LOOP('',(#14201,#14202,#14203)); +#2891=EDGE_LOOP('',(#14204,#14205,#14206,#14207)); +#2892=EDGE_LOOP('',(#14208,#14209,#14210)); +#2893=EDGE_LOOP('',(#14211,#14212,#14213,#14214,#14215,#14216)); +#2894=EDGE_LOOP('',(#14217,#14218,#14219,#14220)); +#2895=EDGE_LOOP('',(#14221,#14222,#14223,#14224)); +#2896=EDGE_LOOP('',(#14225,#14226,#14227,#14228)); +#2897=EDGE_LOOP('',(#14229,#14230,#14231,#14232)); +#2898=EDGE_LOOP('',(#14233,#14234,#14235,#14236)); +#2899=EDGE_LOOP('',(#14237,#14238,#14239,#14240)); +#2900=EDGE_LOOP('',(#14241,#14242,#14243,#14244)); +#2901=EDGE_LOOP('',(#14245,#14246,#14247)); +#2902=EDGE_LOOP('',(#14248,#14249,#14250)); +#2903=EDGE_LOOP('',(#14251,#14252,#14253,#14254)); +#2904=EDGE_LOOP('',(#14255,#14256,#14257,#14258)); +#2905=EDGE_LOOP('',(#14259,#14260,#14261,#14262)); +#2906=EDGE_LOOP('',(#14263,#14264,#14265,#14266,#14267,#14268,#14269,#14270)); +#2907=EDGE_LOOP('',(#14271,#14272,#14273,#14274,#14275,#14276,#14277,#14278)); +#2908=EDGE_LOOP('',(#14279,#14280,#14281,#14282,#14283,#14284)); +#2909=EDGE_LOOP('',(#14285,#14286,#14287)); +#2910=EDGE_LOOP('',(#14288,#14289,#14290,#14291,#14292,#14293)); +#2911=EDGE_LOOP('',(#14294,#14295,#14296,#14297,#14298,#14299)); +#2912=EDGE_LOOP('',(#14300,#14301,#14302)); +#2913=EDGE_LOOP('',(#14303,#14304,#14305,#14306,#14307,#14308)); +#2914=EDGE_LOOP('',(#14309,#14310,#14311,#14312)); +#2915=EDGE_LOOP('',(#14313,#14314,#14315,#14316)); +#2916=EDGE_LOOP('',(#14317,#14318,#14319,#14320)); +#2917=EDGE_LOOP('',(#14321,#14322,#14323,#14324)); +#2918=EDGE_LOOP('',(#14325,#14326,#14327,#14328)); +#2919=EDGE_LOOP('',(#14329,#14330,#14331,#14332)); +#2920=EDGE_LOOP('',(#14333,#14334,#14335,#14336)); +#2921=EDGE_LOOP('',(#14337,#14338,#14339,#14340)); +#2922=EDGE_LOOP('',(#14341,#14342,#14343,#14344)); +#2923=EDGE_LOOP('',(#14345,#14346,#14347,#14348)); +#2924=EDGE_LOOP('',(#14349,#14350,#14351,#14352,#14353,#14354,#14355,#14356)); +#2925=EDGE_LOOP('',(#14357,#14358,#14359,#14360,#14361,#14362,#14363,#14364)); +#2926=EDGE_LOOP('',(#14365,#14366,#14367,#14368,#14369,#14370)); +#2927=EDGE_LOOP('',(#14371,#14372,#14373)); +#2928=EDGE_LOOP('',(#14374,#14375,#14376,#14377)); +#2929=EDGE_LOOP('',(#14378,#14379,#14380)); +#2930=EDGE_LOOP('',(#14381,#14382,#14383,#14384,#14385,#14386)); +#2931=EDGE_LOOP('',(#14387,#14388,#14389,#14390,#14391,#14392)); +#2932=EDGE_LOOP('',(#14393,#14394,#14395)); +#2933=EDGE_LOOP('',(#14396,#14397,#14398,#14399)); +#2934=EDGE_LOOP('',(#14400,#14401,#14402)); +#2935=EDGE_LOOP('',(#14403,#14404,#14405,#14406,#14407,#14408)); +#2936=EDGE_LOOP('',(#14409,#14410,#14411,#14412)); +#2937=EDGE_LOOP('',(#14413,#14414,#14415,#14416)); +#2938=EDGE_LOOP('',(#14417,#14418,#14419,#14420)); +#2939=EDGE_LOOP('',(#14421,#14422,#14423,#14424)); +#2940=EDGE_LOOP('',(#14425,#14426,#14427,#14428)); +#2941=EDGE_LOOP('',(#14429,#14430,#14431,#14432)); +#2942=EDGE_LOOP('',(#14433,#14434,#14435,#14436)); +#2943=EDGE_LOOP('',(#14437,#14438,#14439)); +#2944=EDGE_LOOP('',(#14440,#14441,#14442)); +#2945=EDGE_LOOP('',(#14443,#14444,#14445,#14446)); +#2946=EDGE_LOOP('',(#14447,#14448,#14449,#14450)); +#2947=EDGE_LOOP('',(#14451,#14452,#14453,#14454)); +#2948=EDGE_LOOP('',(#14455,#14456,#14457,#14458,#14459,#14460,#14461,#14462)); +#2949=EDGE_LOOP('',(#14463,#14464,#14465,#14466,#14467,#14468,#14469,#14470)); +#2950=EDGE_LOOP('',(#14471,#14472,#14473,#14474,#14475,#14476)); +#2951=EDGE_LOOP('',(#14477,#14478,#14479)); +#2952=EDGE_LOOP('',(#14480,#14481,#14482,#14483,#14484,#14485)); +#2953=EDGE_LOOP('',(#14486,#14487,#14488,#14489,#14490,#14491)); +#2954=EDGE_LOOP('',(#14492,#14493,#14494)); +#2955=EDGE_LOOP('',(#14495,#14496,#14497,#14498,#14499,#14500)); +#2956=EDGE_LOOP('',(#14501,#14502,#14503,#14504)); +#2957=EDGE_LOOP('',(#14505,#14506,#14507,#14508)); +#2958=EDGE_LOOP('',(#14509,#14510,#14511,#14512)); +#2959=EDGE_LOOP('',(#14513,#14514,#14515,#14516)); +#2960=EDGE_LOOP('',(#14517,#14518,#14519,#14520)); +#2961=EDGE_LOOP('',(#14521,#14522,#14523,#14524)); +#2962=EDGE_LOOP('',(#14525,#14526,#14527,#14528)); +#2963=EDGE_LOOP('',(#14529,#14530,#14531,#14532)); +#2964=EDGE_LOOP('',(#14533,#14534,#14535,#14536)); +#2965=EDGE_LOOP('',(#14537,#14538,#14539,#14540)); +#2966=EDGE_LOOP('',(#14541,#14542,#14543,#14544,#14545,#14546,#14547,#14548)); +#2967=EDGE_LOOP('',(#14549,#14550,#14551,#14552,#14553,#14554,#14555,#14556)); +#2968=EDGE_LOOP('',(#14557,#14558,#14559,#14560,#14561,#14562)); +#2969=EDGE_LOOP('',(#14563,#14564,#14565)); +#2970=EDGE_LOOP('',(#14566,#14567,#14568,#14569)); +#2971=EDGE_LOOP('',(#14570,#14571,#14572)); +#2972=EDGE_LOOP('',(#14573,#14574,#14575,#14576,#14577,#14578)); +#2973=EDGE_LOOP('',(#14579,#14580,#14581,#14582,#14583,#14584)); +#2974=EDGE_LOOP('',(#14585,#14586,#14587)); +#2975=EDGE_LOOP('',(#14588,#14589,#14590,#14591)); +#2976=EDGE_LOOP('',(#14592,#14593,#14594)); +#2977=EDGE_LOOP('',(#14595,#14596,#14597,#14598,#14599,#14600)); +#2978=EDGE_LOOP('',(#14601,#14602,#14603,#14604)); +#2979=EDGE_LOOP('',(#14605,#14606,#14607,#14608)); +#2980=EDGE_LOOP('',(#14609,#14610,#14611,#14612)); +#2981=EDGE_LOOP('',(#14613,#14614,#14615,#14616)); +#2982=EDGE_LOOP('',(#14617,#14618,#14619,#14620)); +#2983=EDGE_LOOP('',(#14621,#14622,#14623,#14624)); +#2984=EDGE_LOOP('',(#14625,#14626,#14627,#14628)); +#2985=EDGE_LOOP('',(#14629,#14630,#14631)); +#2986=EDGE_LOOP('',(#14632,#14633,#14634)); +#2987=EDGE_LOOP('',(#14635,#14636,#14637,#14638)); +#2988=EDGE_LOOP('',(#14639,#14640,#14641,#14642)); +#2989=EDGE_LOOP('',(#14643,#14644,#14645,#14646)); +#2990=EDGE_LOOP('',(#14647,#14648,#14649,#14650,#14651,#14652,#14653,#14654)); +#2991=EDGE_LOOP('',(#14655,#14656,#14657,#14658,#14659,#14660,#14661,#14662)); +#2992=EDGE_LOOP('',(#14663,#14664,#14665,#14666,#14667,#14668)); +#2993=EDGE_LOOP('',(#14669,#14670,#14671)); +#2994=EDGE_LOOP('',(#14672,#14673,#14674,#14675,#14676,#14677)); +#2995=EDGE_LOOP('',(#14678,#14679,#14680,#14681,#14682,#14683)); +#2996=EDGE_LOOP('',(#14684,#14685,#14686)); +#2997=EDGE_LOOP('',(#14687,#14688,#14689,#14690,#14691,#14692)); +#2998=EDGE_LOOP('',(#14693,#14694,#14695,#14696)); +#2999=EDGE_LOOP('',(#14697,#14698,#14699,#14700)); +#3000=EDGE_LOOP('',(#14701,#14702,#14703,#14704)); +#3001=EDGE_LOOP('',(#14705,#14706,#14707,#14708)); +#3002=EDGE_LOOP('',(#14709,#14710,#14711,#14712)); +#3003=EDGE_LOOP('',(#14713,#14714,#14715,#14716)); +#3004=EDGE_LOOP('',(#14717,#14718,#14719,#14720)); +#3005=EDGE_LOOP('',(#14721,#14722,#14723,#14724)); +#3006=EDGE_LOOP('',(#14725,#14726,#14727,#14728)); +#3007=EDGE_LOOP('',(#14729,#14730,#14731,#14732)); +#3008=EDGE_LOOP('',(#14733,#14734,#14735,#14736,#14737,#14738,#14739,#14740)); +#3009=EDGE_LOOP('',(#14741,#14742,#14743,#14744,#14745,#14746,#14747,#14748)); +#3010=EDGE_LOOP('',(#14749,#14750,#14751,#14752,#14753,#14754)); +#3011=EDGE_LOOP('',(#14755,#14756,#14757)); +#3012=EDGE_LOOP('',(#14758,#14759,#14760,#14761)); +#3013=EDGE_LOOP('',(#14762,#14763,#14764)); +#3014=EDGE_LOOP('',(#14765,#14766,#14767,#14768,#14769,#14770)); +#3015=EDGE_LOOP('',(#14771,#14772,#14773,#14774,#14775,#14776)); +#3016=EDGE_LOOP('',(#14777,#14778,#14779)); +#3017=EDGE_LOOP('',(#14780,#14781,#14782,#14783)); +#3018=EDGE_LOOP('',(#14784,#14785,#14786)); +#3019=EDGE_LOOP('',(#14787,#14788,#14789,#14790,#14791,#14792)); +#3020=EDGE_LOOP('',(#14793,#14794,#14795,#14796)); +#3021=EDGE_LOOP('',(#14797,#14798,#14799,#14800)); +#3022=EDGE_LOOP('',(#14801,#14802,#14803,#14804)); +#3023=EDGE_LOOP('',(#14805,#14806,#14807,#14808)); +#3024=EDGE_LOOP('',(#14809,#14810,#14811,#14812)); +#3025=EDGE_LOOP('',(#14813,#14814,#14815,#14816)); +#3026=EDGE_LOOP('',(#14817,#14818,#14819,#14820)); +#3027=EDGE_LOOP('',(#14821,#14822,#14823)); +#3028=EDGE_LOOP('',(#14824,#14825,#14826)); +#3029=EDGE_LOOP('',(#14827,#14828,#14829,#14830)); +#3030=EDGE_LOOP('',(#14831,#14832,#14833,#14834,#14835,#14836,#14837,#14838, +#14839,#14840,#14841,#14842)); +#3031=EDGE_LOOP('',(#14843,#14844,#14845,#14846)); +#3032=EDGE_LOOP('',(#14847,#14848,#14849,#14850,#14851,#14852,#14853,#14854)); +#3033=EDGE_LOOP('',(#14855,#14856,#14857,#14858)); +#3034=EDGE_LOOP('',(#14859,#14860,#14861,#14862)); +#3035=EDGE_LOOP('',(#14863,#14864,#14865,#14866,#14867,#14868,#14869,#14870)); +#3036=EDGE_LOOP('',(#14871,#14872,#14873,#14874)); +#3037=EDGE_LOOP('',(#14875,#14876,#14877,#14878,#14879,#14880,#14881,#14882, +#14883,#14884,#14885,#14886)); +#3038=EDGE_LOOP('',(#14887,#14888,#14889,#14890)); +#3039=EDGE_LOOP('',(#14891,#14892,#14893,#14894)); +#3040=EDGE_LOOP('',(#14895,#14896,#14897,#14898)); +#3041=EDGE_LOOP('',(#14899,#14900,#14901,#14902)); +#3042=EDGE_LOOP('',(#14903,#14904,#14905,#14906)); +#3043=EDGE_LOOP('',(#14907,#14908,#14909,#14910)); +#3044=EDGE_LOOP('',(#14911,#14912,#14913,#14914)); +#3045=EDGE_LOOP('',(#14915,#14916,#14917,#14918,#14919,#14920,#14921,#14922, +#14923,#14924,#14925,#14926)); +#3046=EDGE_LOOP('',(#14927,#14928,#14929,#14930,#14931,#14932,#14933,#14934, +#14935,#14936,#14937,#14938)); +#3047=EDGE_LOOP('',(#14939,#14940,#14941,#14942)); +#3048=EDGE_LOOP('',(#14943,#14944,#14945)); +#3049=EDGE_LOOP('',(#14946,#14947,#14948)); +#3050=EDGE_LOOP('',(#14949,#14950,#14951,#14952)); +#3051=EDGE_LOOP('',(#14953,#14954,#14955,#14956)); +#3052=EDGE_LOOP('',(#14957,#14958,#14959,#14960)); +#3053=EDGE_LOOP('',(#14961,#14962,#14963,#14964)); +#3054=EDGE_LOOP('',(#14965,#14966,#14967,#14968)); +#3055=EDGE_LOOP('',(#14969,#14970,#14971,#14972)); +#3056=EDGE_LOOP('',(#14973,#14974,#14975)); +#3057=EDGE_LOOP('',(#14976,#14977,#14978)); +#3058=EDGE_LOOP('',(#14979,#14980,#14981,#14982)); +#3059=EDGE_LOOP('',(#14983,#14984,#14985,#14986)); +#3060=EDGE_LOOP('',(#14987,#14988,#14989)); +#3061=EDGE_LOOP('',(#14990,#14991,#14992,#14993)); +#3062=EDGE_LOOP('',(#14994,#14995,#14996,#14997)); +#3063=EDGE_LOOP('',(#14998,#14999,#15000)); +#3064=EDGE_LOOP('',(#15001,#15002,#15003,#15004)); +#3065=EDGE_LOOP('',(#15005,#15006,#15007,#15008)); +#3066=EDGE_LOOP('',(#15009,#15010,#15011,#15012)); +#3067=EDGE_LOOP('',(#15013,#15014,#15015,#15016)); +#3068=EDGE_LOOP('',(#15017,#15018,#15019)); +#3069=EDGE_LOOP('',(#15020,#15021,#15022)); +#3070=EDGE_LOOP('',(#15023,#15024,#15025,#15026)); +#3071=EDGE_LOOP('',(#15027,#15028,#15029,#15030)); +#3072=EDGE_LOOP('',(#15031,#15032,#15033,#15034)); +#3073=EDGE_LOOP('',(#15035,#15036,#15037,#15038)); +#3074=EDGE_LOOP('',(#15039,#15040,#15041,#15042)); +#3075=EDGE_LOOP('',(#15043,#15044,#15045,#15046)); +#3076=EDGE_LOOP('',(#15047,#15048,#15049,#15050)); +#3077=EDGE_LOOP('',(#15051,#15052,#15053,#15054)); +#3078=EDGE_LOOP('',(#15055,#15056,#15057,#15058)); +#3079=EDGE_LOOP('',(#15059,#15060,#15061,#15062)); +#3080=EDGE_LOOP('',(#15063,#15064,#15065,#15066)); +#3081=EDGE_LOOP('',(#15067,#15068,#15069,#15070,#15071,#15072,#15073,#15074, +#15075,#15076,#15077,#15078)); +#3082=EDGE_LOOP('',(#15079,#15080,#15081,#15082,#15083,#15084,#15085,#15086, +#15087,#15088,#15089,#15090)); +#3083=EDGE_LOOP('',(#15091,#15092,#15093,#15094)); +#3084=EDGE_LOOP('',(#15095,#15096,#15097,#15098)); +#3085=EDGE_LOOP('',(#15099,#15100,#15101,#15102)); +#3086=EDGE_LOOP('',(#15103,#15104,#15105,#15106)); +#3087=EDGE_LOOP('',(#15107,#15108,#15109,#15110)); +#3088=EDGE_LOOP('',(#15111,#15112,#15113,#15114)); +#3089=EDGE_LOOP('',(#15115,#15116,#15117,#15118)); +#3090=EDGE_LOOP('',(#15119,#15120,#15121,#15122)); +#3091=EDGE_LOOP('',(#15123,#15124,#15125,#15126)); +#3092=EDGE_LOOP('',(#15127,#15128,#15129,#15130)); +#3093=EDGE_LOOP('',(#15131,#15132,#15133,#15134,#15135,#15136,#15137,#15138, +#15139,#15140,#15141,#15142)); +#3094=EDGE_LOOP('',(#15143,#15144,#15145,#15146,#15147,#15148,#15149,#15150, +#15151,#15152,#15153,#15154)); +#3095=EDGE_LOOP('',(#15155,#15156,#15157,#15158)); +#3096=EDGE_LOOP('',(#15159,#15160,#15161,#15162)); +#3097=EDGE_LOOP('',(#15163,#15164,#15165,#15166)); +#3098=EDGE_LOOP('',(#15167,#15168,#15169,#15170)); +#3099=EDGE_LOOP('',(#15171,#15172,#15173,#15174)); +#3100=EDGE_LOOP('',(#15175,#15176,#15177,#15178)); +#3101=EDGE_LOOP('',(#15179,#15180,#15181,#15182)); +#3102=EDGE_LOOP('',(#15183,#15184,#15185,#15186)); +#3103=EDGE_LOOP('',(#15187,#15188,#15189,#15190)); +#3104=EDGE_LOOP('',(#15191,#15192,#15193,#15194)); +#3105=EDGE_LOOP('',(#15195,#15196,#15197,#15198)); +#3106=EDGE_LOOP('',(#15199,#15200,#15201,#15202)); +#3107=EDGE_LOOP('',(#15203,#15204,#15205,#15206)); +#3108=EDGE_LOOP('',(#15207,#15208,#15209,#15210)); +#3109=EDGE_LOOP('',(#15211,#15212,#15213,#15214)); +#3110=EDGE_LOOP('',(#15215,#15216,#15217,#15218)); +#3111=EDGE_LOOP('',(#15219,#15220,#15221,#15222)); +#3112=EDGE_LOOP('',(#15223,#15224,#15225,#15226)); +#3113=EDGE_LOOP('',(#15227,#15228,#15229,#15230)); +#3114=EDGE_LOOP('',(#15231,#15232,#15233,#15234)); +#3115=EDGE_LOOP('',(#15235,#15236,#15237,#15238)); +#3116=EDGE_LOOP('',(#15239,#15240,#15241,#15242)); +#3117=EDGE_LOOP('',(#15243,#15244,#15245,#15246)); +#3118=EDGE_LOOP('',(#15247,#15248,#15249,#15250)); +#3119=EDGE_LOOP('',(#15251,#15252,#15253,#15254)); +#3120=EDGE_LOOP('',(#15255,#15256,#15257,#15258)); +#3121=EDGE_LOOP('',(#15259,#15260,#15261,#15262)); +#3122=EDGE_LOOP('',(#15263,#15264,#15265,#15266)); +#3123=EDGE_LOOP('',(#15267,#15268,#15269,#15270)); +#3124=EDGE_LOOP('',(#15271,#15272,#15273,#15274,#15275,#15276,#15277,#15278, +#15279,#15280,#15281,#15282,#15283,#15284,#15285,#15286)); +#3125=EDGE_LOOP('',(#15287,#15288,#15289,#15290)); +#3126=EDGE_LOOP('',(#15291,#15292,#15293,#15294,#15295,#15296,#15297,#15298, +#15299,#15300,#15301,#15302,#15303,#15304,#15305,#15306)); +#3127=EDGE_LOOP('',(#15307,#15308,#15309,#15310)); +#3128=EDGE_LOOP('',(#15311,#15312,#15313,#15314)); +#3129=EDGE_LOOP('',(#15315,#15316,#15317,#15318,#15319,#15320,#15321,#15322, +#15323,#15324,#15325,#15326,#15327,#15328,#15329,#15330)); +#3130=EDGE_LOOP('',(#15331,#15332,#15333,#15334)); +#3131=EDGE_LOOP('',(#15335,#15336,#15337,#15338,#15339,#15340,#15341,#15342, +#15343,#15344,#15345,#15346,#15347,#15348,#15349,#15350)); +#3132=EDGE_LOOP('',(#15351,#15352,#15353,#15354)); +#3133=EDGE_LOOP('',(#15355,#15356,#15357,#15358)); +#3134=EDGE_LOOP('',(#15359,#15360,#15361)); +#3135=EDGE_LOOP('',(#15362,#15363,#15364)); +#3136=EDGE_LOOP('',(#15365,#15366,#15367,#15368)); +#3137=EDGE_LOOP('',(#15369,#15370,#15371,#15372)); +#3138=EDGE_LOOP('',(#15373,#15374,#15375,#15376)); +#3139=EDGE_LOOP('',(#15377,#15378,#15379,#15380)); +#3140=EDGE_LOOP('',(#15381,#15382,#15383,#15384)); +#3141=EDGE_LOOP('',(#15385,#15386,#15387,#15388)); +#3142=EDGE_LOOP('',(#15389,#15390,#15391)); +#3143=EDGE_LOOP('',(#15392,#15393,#15394)); +#3144=EDGE_LOOP('',(#15395,#15396,#15397,#15398)); +#3145=EDGE_LOOP('',(#15399,#15400,#15401,#15402)); +#3146=EDGE_LOOP('',(#15403,#15404,#15405)); +#3147=EDGE_LOOP('',(#15406,#15407,#15408,#15409)); +#3148=EDGE_LOOP('',(#15410,#15411,#15412,#15413)); +#3149=EDGE_LOOP('',(#15414,#15415,#15416)); +#3150=EDGE_LOOP('',(#15417,#15418,#15419,#15420)); +#3151=EDGE_LOOP('',(#15421,#15422,#15423,#15424)); +#3152=EDGE_LOOP('',(#15425,#15426,#15427,#15428)); +#3153=EDGE_LOOP('',(#15429,#15430,#15431,#15432)); +#3154=EDGE_LOOP('',(#15433,#15434,#15435)); +#3155=EDGE_LOOP('',(#15436,#15437,#15438)); +#3156=EDGE_LOOP('',(#15439,#15440,#15441,#15442)); +#3157=EDGE_LOOP('',(#15443,#15444,#15445,#15446)); +#3158=EDGE_LOOP('',(#15447,#15448,#15449,#15450)); +#3159=EDGE_LOOP('',(#15451,#15452,#15453,#15454)); +#3160=EDGE_LOOP('',(#15455,#15456,#15457,#15458)); +#3161=EDGE_LOOP('',(#15459,#15460,#15461,#15462)); +#3162=EDGE_LOOP('',(#15463,#15464,#15465,#15466)); +#3163=EDGE_LOOP('',(#15467,#15468,#15469,#15470)); +#3164=EDGE_LOOP('',(#15471,#15472,#15473,#15474,#15475,#15476,#15477,#15478, +#15479,#15480,#15481,#15482,#15483)); +#3165=EDGE_LOOP('',(#15484,#15485,#15486,#15487,#15488,#15489,#15490,#15491, +#15492,#15493,#15494,#15495,#15496)); +#3166=EDGE_LOOP('',(#15497,#15498,#15499,#15500)); +#3167=EDGE_LOOP('',(#15501,#15502,#15503,#15504)); +#3168=EDGE_LOOP('',(#15505,#15506,#15507,#15508)); +#3169=EDGE_LOOP('',(#15509,#15510,#15511,#15512)); +#3170=EDGE_LOOP('',(#15513,#15514,#15515,#15516)); +#3171=EDGE_LOOP('',(#15517,#15518,#15519,#15520)); +#3172=EDGE_LOOP('',(#15521,#15522,#15523,#15524)); +#3173=EDGE_LOOP('',(#15525,#15526,#15527,#15528)); +#3174=EDGE_LOOP('',(#15529,#15530,#15531,#15532)); +#3175=EDGE_LOOP('',(#15533,#15534,#15535,#15536)); +#3176=EDGE_LOOP('',(#15537,#15538,#15539,#15540)); +#3177=EDGE_LOOP('',(#15541,#15542,#15543,#15544,#15545,#15546,#15547,#15548, +#15549,#15550,#15551,#15552,#15553)); +#3178=EDGE_LOOP('',(#15554,#15555,#15556,#15557,#15558,#15559,#15560,#15561, +#15562,#15563,#15564,#15565,#15566)); +#3179=EDGE_LOOP('',(#15567,#15568,#15569,#15570)); +#3180=EDGE_LOOP('',(#15571,#15572,#15573,#15574)); +#3181=EDGE_LOOP('',(#15575,#15576,#15577,#15578)); +#3182=EDGE_LOOP('',(#15579,#15580,#15581,#15582)); +#3183=EDGE_LOOP('',(#15583,#15584,#15585,#15586)); +#3184=EDGE_LOOP('',(#15587,#15588,#15589,#15590)); +#3185=EDGE_LOOP('',(#15591,#15592,#15593,#15594)); +#3186=EDGE_LOOP('',(#15595,#15596,#15597,#15598,#15599,#15600,#15601,#15602, +#15603,#15604,#15605,#15606,#15607)); +#3187=EDGE_LOOP('',(#15608,#15609,#15610,#15611,#15612,#15613,#15614,#15615, +#15616,#15617,#15618,#15619,#15620)); +#3188=EDGE_LOOP('',(#15621,#15622,#15623,#15624)); +#3189=EDGE_LOOP('',(#15625,#15626,#15627,#15628)); +#3190=EDGE_LOOP('',(#15629,#15630,#15631,#15632)); +#3191=EDGE_LOOP('',(#15633,#15634,#15635,#15636)); +#3192=EDGE_LOOP('',(#15637,#15638,#15639,#15640)); +#3193=EDGE_LOOP('',(#15641,#15642,#15643,#15644)); +#3194=EDGE_LOOP('',(#15645,#15646,#15647,#15648)); +#3195=EDGE_LOOP('',(#15649,#15650,#15651,#15652)); +#3196=EDGE_LOOP('',(#15653,#15654,#15655,#15656)); +#3197=EDGE_LOOP('',(#15657,#15658,#15659,#15660)); +#3198=EDGE_LOOP('',(#15661,#15662,#15663,#15664)); +#3199=EDGE_LOOP('',(#15665,#15666,#15667,#15668)); +#3200=EDGE_LOOP('',(#15669,#15670,#15671,#15672)); +#3201=EDGE_LOOP('',(#15673,#15674,#15675,#15676)); +#3202=EDGE_LOOP('',(#15677,#15678,#15679,#15680)); +#3203=EDGE_LOOP('',(#15681,#15682,#15683,#15684,#15685,#15686,#15687,#15688, +#15689,#15690,#15691,#15692,#15693)); +#3204=EDGE_LOOP('',(#15694,#15695,#15696,#15697,#15698,#15699,#15700,#15701, +#15702,#15703,#15704,#15705,#15706)); +#3205=EDGE_LOOP('',(#15707,#15708,#15709,#15710)); +#3206=EDGE_LOOP('',(#15711,#15712,#15713,#15714)); +#3207=EDGE_LOOP('',(#15715,#15716,#15717,#15718)); +#3208=EDGE_LOOP('',(#15719,#15720,#15721,#15722)); +#3209=EDGE_LOOP('',(#15723,#15724,#15725,#15726)); +#3210=EDGE_LOOP('',(#15727,#15728,#15729,#15730)); +#3211=EDGE_LOOP('',(#15731,#15732,#15733,#15734)); +#3212=EDGE_LOOP('',(#15735,#15736,#15737,#15738)); +#3213=EDGE_LOOP('',(#15739,#15740,#15741,#15742)); +#3214=EDGE_LOOP('',(#15743,#15744,#15745,#15746)); +#3215=EDGE_LOOP('',(#15747,#15748,#15749,#15750)); +#3216=EDGE_LOOP('',(#15751,#15752,#15753,#15754,#15755,#15756,#15757,#15758, +#15759,#15760,#15761,#15762,#15763)); +#3217=EDGE_LOOP('',(#15764,#15765,#15766,#15767,#15768,#15769,#15770,#15771, +#15772,#15773,#15774,#15775,#15776)); +#3218=EDGE_LOOP('',(#15777,#15778,#15779,#15780)); +#3219=EDGE_LOOP('',(#15781,#15782,#15783,#15784)); +#3220=EDGE_LOOP('',(#15785,#15786,#15787,#15788)); +#3221=EDGE_LOOP('',(#15789,#15790,#15791,#15792)); +#3222=EDGE_LOOP('',(#15793,#15794,#15795,#15796)); +#3223=EDGE_LOOP('',(#15797,#15798,#15799,#15800)); +#3224=EDGE_LOOP('',(#15801,#15802,#15803,#15804)); +#3225=EDGE_LOOP('',(#15805,#15806,#15807,#15808,#15809,#15810,#15811,#15812, +#15813,#15814,#15815,#15816,#15817)); +#3226=EDGE_LOOP('',(#15818,#15819,#15820,#15821,#15822,#15823,#15824,#15825, +#15826,#15827,#15828,#15829,#15830)); +#3227=EDGE_LOOP('',(#15831,#15832,#15833,#15834)); +#3228=EDGE_LOOP('',(#15835,#15836,#15837,#15838)); +#3229=EDGE_LOOP('',(#15839,#15840,#15841,#15842)); +#3230=EDGE_LOOP('',(#15843,#15844,#15845,#15846)); +#3231=EDGE_LOOP('',(#15847,#15848,#15849,#15850)); +#3232=EDGE_LOOP('',(#15851,#15852,#15853,#15854)); +#3233=EDGE_LOOP('',(#15855,#15856,#15857,#15858)); +#3234=EDGE_LOOP('',(#15859,#15860,#15861,#15862)); +#3235=EDGE_LOOP('',(#15863,#15864,#15865,#15866,#15867,#15868)); +#3236=EDGE_LOOP('',(#15869)); +#3237=EDGE_LOOP('',(#15870,#15871,#15872,#15873)); +#3238=EDGE_LOOP('',(#15874,#15875,#15876,#15877,#15878,#15879,#15880,#15881)); +#3239=EDGE_LOOP('',(#15882,#15883,#15884,#15885)); +#3240=EDGE_LOOP('',(#15886,#15887,#15888,#15889)); +#3241=EDGE_LOOP('',(#15890,#15891,#15892,#15893)); +#3242=EDGE_LOOP('',(#15894,#15895,#15896,#15897,#15898,#15899,#15900,#15901)); +#3243=EDGE_LOOP('',(#15902,#15903,#15904,#15905)); +#3244=EDGE_LOOP('',(#15906,#15907,#15908,#15909,#15910,#15911)); +#3245=EDGE_LOOP('',(#15912)); +#3246=EDGE_LOOP('',(#15913,#15914,#15915,#15916,#15917,#15918)); +#3247=EDGE_LOOP('',(#15919)); +#3248=EDGE_LOOP('',(#15920,#15921,#15922,#15923)); +#3249=EDGE_LOOP('',(#15924,#15925,#15926,#15927)); +#3250=EDGE_LOOP('',(#15928,#15929,#15930,#15931,#15932,#15933)); +#3251=EDGE_LOOP('',(#15934)); +#3252=EDGE_LOOP('',(#15935,#15936,#15937,#15938)); +#3253=EDGE_LOOP('',(#15939,#15940,#15941,#15942,#15943,#15944)); +#3254=EDGE_LOOP('',(#15945)); +#3255=EDGE_LOOP('',(#15946,#15947,#15948,#15949)); +#3256=EDGE_LOOP('',(#15950,#15951,#15952,#15953,#15954,#15955)); +#3257=EDGE_LOOP('',(#15956)); +#3258=EDGE_LOOP('',(#15957,#15958,#15959,#15960)); +#3259=EDGE_LOOP('',(#15961,#15962,#15963,#15964,#15965,#15966)); +#3260=EDGE_LOOP('',(#15967)); +#3261=EDGE_LOOP('',(#15968,#15969,#15970,#15971,#15972,#15973)); +#3262=EDGE_LOOP('',(#15974)); +#3263=EDGE_LOOP('',(#15975,#15976,#15977,#15978)); +#3264=EDGE_LOOP('',(#15979,#15980,#15981,#15982)); +#3265=EDGE_LOOP('',(#15983,#15984,#15985,#15986,#15987,#15988)); +#3266=EDGE_LOOP('',(#15989)); +#3267=EDGE_LOOP('',(#15990,#15991,#15992,#15993,#15994,#15995)); +#3268=EDGE_LOOP('',(#15996)); +#3269=EDGE_LOOP('',(#15997,#15998,#15999,#16000)); +#3270=EDGE_LOOP('',(#16001,#16002,#16003,#16004)); +#3271=EDGE_LOOP('',(#16005,#16006,#16007,#16008,#16009,#16010)); +#3272=EDGE_LOOP('',(#16011)); +#3273=EDGE_LOOP('',(#16012,#16013,#16014,#16015,#16016,#16017)); +#3274=EDGE_LOOP('',(#16018)); +#3275=EDGE_LOOP('',(#16019,#16020,#16021,#16022)); +#3276=EDGE_LOOP('',(#16023,#16024,#16025,#16026)); +#3277=EDGE_LOOP('',(#16027,#16028,#16029,#16030,#16031,#16032)); +#3278=EDGE_LOOP('',(#16033)); +#3279=EDGE_LOOP('',(#16034,#16035,#16036,#16037,#16038,#16039)); +#3280=EDGE_LOOP('',(#16040)); +#3281=EDGE_LOOP('',(#16041,#16042,#16043,#16044)); +#3282=EDGE_LOOP('',(#16045,#16046,#16047,#16048,#16049,#16050)); +#3283=EDGE_LOOP('',(#16051)); +#3284=EDGE_LOOP('',(#16052,#16053,#16054,#16055)); +#3285=EDGE_LOOP('',(#16056,#16057,#16058,#16059)); +#3286=EDGE_LOOP('',(#16060,#16061,#16062,#16063,#16064,#16065)); +#3287=EDGE_LOOP('',(#16066)); +#3288=EDGE_LOOP('',(#16067,#16068,#16069,#16070,#16071,#16072,#16073,#16074)); +#3289=EDGE_LOOP('',(#16075,#16076,#16077,#16078,#16079,#16080,#16081,#16082)); +#3290=EDGE_LOOP('',(#16083,#16084,#16085,#16086)); +#3291=EDGE_LOOP('',(#16087,#16088,#16089,#16090)); +#3292=EDGE_LOOP('',(#16091,#16092,#16093,#16094)); +#3293=EDGE_LOOP('',(#16095,#16096,#16097,#16098,#16099,#16100,#16101,#16102)); +#3294=EDGE_LOOP('',(#16103,#16104,#16105,#16106,#16107,#16108,#16109,#16110)); +#3295=EDGE_LOOP('',(#16111,#16112,#16113,#16114,#16115,#16116,#16117,#16118)); +#3296=EDGE_LOOP('',(#16119,#16120,#16121,#16122,#16123,#16124,#16125,#16126)); +#3297=EDGE_LOOP('',(#16127,#16128,#16129,#16130,#16131,#16132,#16133,#16134)); +#3298=EDGE_LOOP('',(#16135,#16136,#16137,#16138)); +#3299=EDGE_LOOP('',(#16139,#16140,#16141,#16142)); +#3300=EDGE_LOOP('',(#16143,#16144,#16145,#16146)); +#3301=EDGE_LOOP('',(#16147,#16148,#16149,#16150,#16151,#16152,#16153,#16154)); +#3302=EDGE_LOOP('',(#16155,#16156,#16157,#16158,#16159,#16160,#16161,#16162)); +#3303=EDGE_LOOP('',(#16163,#16164,#16165,#16166)); +#3304=EDGE_LOOP('',(#16167,#16168,#16169,#16170)); +#3305=EDGE_LOOP('',(#16171,#16172,#16173,#16174)); +#3306=EDGE_LOOP('',(#16175,#16176,#16177,#16178,#16179,#16180,#16181,#16182)); +#3307=EDGE_LOOP('',(#16183,#16184,#16185,#16186,#16187,#16188,#16189,#16190)); +#3308=EDGE_LOOP('',(#16191,#16192,#16193,#16194)); +#3309=EDGE_LOOP('',(#16195,#16196,#16197,#16198)); +#3310=EDGE_LOOP('',(#16199,#16200,#16201,#16202)); +#3311=EDGE_LOOP('',(#16203,#16204,#16205,#16206,#16207,#16208,#16209,#16210)); +#3312=EDGE_LOOP('',(#16211,#16212,#16213,#16214,#16215,#16216,#16217,#16218)); +#3313=EDGE_LOOP('',(#16219,#16220,#16221,#16222)); +#3314=EDGE_LOOP('',(#16223,#16224,#16225,#16226)); +#3315=EDGE_LOOP('',(#16227,#16228,#16229,#16230)); +#3316=EDGE_LOOP('',(#16231,#16232,#16233,#16234,#16235,#16236,#16237,#16238)); +#3317=EDGE_LOOP('',(#16239,#16240,#16241,#16242)); +#3318=EDGE_LOOP('',(#16243,#16244,#16245,#16246)); +#3319=EDGE_LOOP('',(#16247,#16248,#16249,#16250)); +#3320=EDGE_LOOP('',(#16251,#16252,#16253,#16254,#16255,#16256,#16257,#16258, +#16259,#16260,#16261,#16262,#16263,#16264,#16265,#16266,#16267,#16268,#16269, +#16270,#16271,#16272,#16273,#16274,#16275,#16276,#16277,#16278,#16279,#16280, +#16281,#16282,#16283,#16284,#16285,#16286,#16287,#16288,#16289,#16290,#16291, +#16292,#16293,#16294,#16295,#16296,#16297,#16298,#16299,#16300,#16301,#16302, +#16303,#16304,#16305,#16306,#16307,#16308,#16309,#16310,#16311,#16312,#16313, +#16314,#16315,#16316,#16317,#16318)); +#3321=EDGE_LOOP('',(#16319,#16320,#16321,#16322,#16323,#16324,#16325,#16326)); +#3322=EDGE_LOOP('',(#16327,#16328,#16329,#16330)); +#3323=EDGE_LOOP('',(#16331,#16332,#16333,#16334)); +#3324=EDGE_LOOP('',(#16335,#16336,#16337,#16338)); +#3325=EDGE_LOOP('',(#16339,#16340,#16341,#16342)); +#3326=EDGE_LOOP('',(#16343,#16344,#16345,#16346)); +#3327=EDGE_LOOP('',(#16347,#16348,#16349,#16350)); +#3328=EDGE_LOOP('',(#16351,#16352,#16353,#16354)); +#3329=EDGE_LOOP('',(#16355,#16356,#16357,#16358)); +#3330=EDGE_LOOP('',(#16359,#16360,#16361,#16362)); +#3331=EDGE_LOOP('',(#16363,#16364,#16365,#16366)); +#3332=EDGE_LOOP('',(#16367,#16368,#16369,#16370)); +#3333=EDGE_LOOP('',(#16371,#16372,#16373,#16374)); +#3334=EDGE_LOOP('',(#16375,#16376,#16377,#16378)); +#3335=EDGE_LOOP('',(#16379,#16380,#16381,#16382)); +#3336=EDGE_LOOP('',(#16383,#16384,#16385,#16386)); +#3337=EDGE_LOOP('',(#16387,#16388,#16389,#16390)); +#3338=EDGE_LOOP('',(#16391,#16392,#16393,#16394)); +#3339=EDGE_LOOP('',(#16395,#16396,#16397,#16398)); +#3340=EDGE_LOOP('',(#16399,#16400,#16401,#16402)); +#3341=EDGE_LOOP('',(#16403,#16404,#16405,#16406)); +#3342=EDGE_LOOP('',(#16407,#16408,#16409,#16410)); +#3343=EDGE_LOOP('',(#16411,#16412,#16413,#16414)); +#3344=EDGE_LOOP('',(#16415,#16416,#16417,#16418)); +#3345=EDGE_LOOP('',(#16419,#16420,#16421,#16422)); +#3346=EDGE_LOOP('',(#16423,#16424,#16425,#16426)); +#3347=EDGE_LOOP('',(#16427,#16428,#16429,#16430)); +#3348=EDGE_LOOP('',(#16431,#16432,#16433,#16434)); +#3349=EDGE_LOOP('',(#16435,#16436,#16437,#16438)); +#3350=EDGE_LOOP('',(#16439,#16440,#16441,#16442)); +#3351=EDGE_LOOP('',(#16443,#16444,#16445,#16446)); +#3352=EDGE_LOOP('',(#16447,#16448,#16449,#16450)); +#3353=EDGE_LOOP('',(#16451,#16452,#16453,#16454)); +#3354=EDGE_LOOP('',(#16455,#16456,#16457,#16458)); +#3355=EDGE_LOOP('',(#16459,#16460,#16461,#16462)); +#3356=EDGE_LOOP('',(#16463,#16464,#16465,#16466)); +#3357=EDGE_LOOP('',(#16467,#16468,#16469,#16470)); +#3358=EDGE_LOOP('',(#16471,#16472,#16473,#16474)); +#3359=EDGE_LOOP('',(#16475,#16476,#16477,#16478)); +#3360=EDGE_LOOP('',(#16479,#16480,#16481,#16482)); +#3361=EDGE_LOOP('',(#16483,#16484,#16485,#16486)); +#3362=EDGE_LOOP('',(#16487,#16488,#16489,#16490)); +#3363=EDGE_LOOP('',(#16491,#16492,#16493,#16494)); +#3364=EDGE_LOOP('',(#16495,#16496,#16497,#16498)); +#3365=EDGE_LOOP('',(#16499,#16500,#16501,#16502)); +#3366=EDGE_LOOP('',(#16503,#16504,#16505,#16506)); +#3367=EDGE_LOOP('',(#16507,#16508,#16509,#16510)); +#3368=EDGE_LOOP('',(#16511,#16512,#16513,#16514)); +#3369=EDGE_LOOP('',(#16515,#16516,#16517,#16518)); +#3370=EDGE_LOOP('',(#16519,#16520,#16521,#16522)); +#3371=EDGE_LOOP('',(#16523,#16524,#16525,#16526)); +#3372=EDGE_LOOP('',(#16527,#16528,#16529,#16530)); +#3373=EDGE_LOOP('',(#16531,#16532,#16533,#16534)); +#3374=EDGE_LOOP('',(#16535,#16536,#16537,#16538)); +#3375=EDGE_LOOP('',(#16539,#16540,#16541,#16542)); +#3376=EDGE_LOOP('',(#16543,#16544,#16545,#16546)); +#3377=EDGE_LOOP('',(#16547,#16548,#16549,#16550)); +#3378=EDGE_LOOP('',(#16551,#16552,#16553,#16554)); +#3379=EDGE_LOOP('',(#16555,#16556,#16557,#16558)); +#3380=EDGE_LOOP('',(#16559,#16560,#16561,#16562)); +#3381=EDGE_LOOP('',(#16563,#16564,#16565,#16566,#16567,#16568,#16569,#16570)); +#3382=EDGE_LOOP('',(#16571,#16572,#16573,#16574)); +#3383=EDGE_LOOP('',(#16575,#16576,#16577,#16578,#16579,#16580)); +#3384=EDGE_LOOP('',(#16581)); +#3385=EDGE_LOOP('',(#16582,#16583,#16584,#16585,#16586,#16587,#16588,#16589)); +#3386=EDGE_LOOP('',(#16590,#16591,#16592,#16593)); +#3387=EDGE_LOOP('',(#16594,#16595,#16596,#16597,#16598,#16599)); +#3388=EDGE_LOOP('',(#16600)); +#3389=EDGE_LOOP('',(#16601,#16602,#16603,#16604,#16605,#16606,#16607,#16608)); +#3390=EDGE_LOOP('',(#16609,#16610,#16611,#16612)); +#3391=EDGE_LOOP('',(#16613,#16614,#16615,#16616,#16617,#16618)); +#3392=EDGE_LOOP('',(#16619)); +#3393=EDGE_LOOP('',(#16620,#16621,#16622,#16623,#16624,#16625,#16626,#16627)); +#3394=EDGE_LOOP('',(#16628,#16629,#16630,#16631)); +#3395=EDGE_LOOP('',(#16632,#16633,#16634,#16635,#16636,#16637)); +#3396=EDGE_LOOP('',(#16638)); +#3397=EDGE_LOOP('',(#16639,#16640,#16641,#16642)); +#3398=EDGE_LOOP('',(#16643,#16644,#16645,#16646,#16647,#16648,#16649,#16650)); +#3399=EDGE_LOOP('',(#16651,#16652,#16653,#16654,#16655,#16656)); +#3400=EDGE_LOOP('',(#16657)); +#3401=EDGE_LOOP('',(#16658,#16659,#16660,#16661,#16662,#16663,#16664,#16665)); +#3402=EDGE_LOOP('',(#16666,#16667,#16668,#16669)); +#3403=EDGE_LOOP('',(#16670,#16671,#16672,#16673,#16674,#16675)); +#3404=EDGE_LOOP('',(#16676)); +#3405=EDGE_LOOP('',(#16677,#16678,#16679,#16680,#16681,#16682,#16683,#16684)); +#3406=EDGE_LOOP('',(#16685,#16686,#16687,#16688)); +#3407=EDGE_LOOP('',(#16689,#16690,#16691,#16692,#16693,#16694)); +#3408=EDGE_LOOP('',(#16695)); +#3409=EDGE_LOOP('',(#16696,#16697,#16698,#16699,#16700,#16701,#16702,#16703)); +#3410=EDGE_LOOP('',(#16704,#16705,#16706,#16707)); +#3411=EDGE_LOOP('',(#16708,#16709,#16710,#16711,#16712,#16713)); +#3412=EDGE_LOOP('',(#16714)); +#3413=EDGE_LOOP('',(#16715,#16716,#16717,#16718,#16719,#16720,#16721,#16722)); +#3414=EDGE_LOOP('',(#16723,#16724,#16725,#16726)); +#3415=EDGE_LOOP('',(#16727,#16728,#16729,#16730,#16731,#16732)); +#3416=EDGE_LOOP('',(#16733)); +#3417=EDGE_LOOP('',(#16734,#16735,#16736,#16737)); +#3418=EDGE_LOOP('',(#16738,#16739,#16740,#16741,#16742,#16743)); +#3419=EDGE_LOOP('',(#16744)); +#3420=EDGE_LOOP('',(#16745,#16746,#16747,#16748,#16749,#16750,#16751,#16752)); +#3421=EDGE_LOOP('',(#16753,#16754,#16755,#16756)); +#3422=EDGE_LOOP('',(#16757,#16758,#16759,#16760,#16761,#16762)); +#3423=EDGE_LOOP('',(#16763)); +#3424=EDGE_LOOP('',(#16764,#16765,#16766,#16767,#16768,#16769,#16770,#16771)); +#3425=EDGE_LOOP('',(#16772,#16773,#16774,#16775)); +#3426=EDGE_LOOP('',(#16776,#16777,#16778,#16779,#16780,#16781)); +#3427=EDGE_LOOP('',(#16782)); +#3428=EDGE_LOOP('',(#16783,#16784,#16785,#16786,#16787,#16788,#16789,#16790)); +#3429=EDGE_LOOP('',(#16791,#16792,#16793,#16794)); +#3430=EDGE_LOOP('',(#16795,#16796,#16797,#16798,#16799,#16800)); +#3431=EDGE_LOOP('',(#16801)); +#3432=EDGE_LOOP('',(#16802,#16803,#16804,#16805)); +#3433=EDGE_LOOP('',(#16806,#16807,#16808,#16809,#16810,#16811,#16812,#16813)); +#3434=EDGE_LOOP('',(#16814,#16815,#16816,#16817,#16818,#16819)); +#3435=EDGE_LOOP('',(#16820)); +#3436=EDGE_LOOP('',(#16821,#16822,#16823,#16824,#16825,#16826,#16827,#16828)); +#3437=EDGE_LOOP('',(#16829,#16830,#16831,#16832)); +#3438=EDGE_LOOP('',(#16833,#16834,#16835,#16836,#16837,#16838)); +#3439=EDGE_LOOP('',(#16839)); +#3440=EDGE_LOOP('',(#16840,#16841,#16842,#16843,#16844,#16845,#16846,#16847)); +#3441=EDGE_LOOP('',(#16848,#16849,#16850,#16851)); +#3442=EDGE_LOOP('',(#16852,#16853,#16854,#16855,#16856,#16857)); +#3443=EDGE_LOOP('',(#16858)); +#3444=EDGE_LOOP('',(#16859,#16860,#16861,#16862,#16863,#16864,#16865,#16866, +#16867,#16868,#16869,#16870,#16871,#16872,#16873,#16874,#16875,#16876,#16877, +#16878,#16879,#16880,#16881,#16882,#16883,#16884,#16885,#16886,#16887,#16888, +#16889,#16890,#16891,#16892,#16893,#16894,#16895,#16896,#16897,#16898,#16899, +#16900,#16901,#16902,#16903,#16904,#16905,#16906,#16907,#16908,#16909,#16910, +#16911,#16912,#16913,#16914,#16915,#16916,#16917,#16918,#16919,#16920,#16921, +#16922,#16923,#16924,#16925,#16926)); +#3445=EDGE_LOOP('',(#16927,#16928,#16929,#16930)); +#3446=EDGE_LOOP('',(#16931,#16932,#16933,#16934)); +#3447=EDGE_LOOP('',(#16935,#16936,#16937,#16938)); +#3448=EDGE_LOOP('',(#16939,#16940,#16941,#16942)); +#3449=LINE('',#26499,#5461); +#3450=LINE('',#26501,#5462); +#3451=LINE('',#26503,#5463); +#3452=LINE('',#26504,#5464); +#3453=LINE('',#26507,#5465); +#3454=LINE('',#26509,#5466); +#3455=LINE('',#26511,#5467); +#3456=LINE('',#26512,#5468); +#3457=LINE('',#26515,#5469); +#3458=LINE('',#26517,#5470); +#3459=LINE('',#26519,#5471); +#3460=LINE('',#26520,#5472); +#3461=LINE('',#26523,#5473); +#3462=LINE('',#26525,#5474); +#3463=LINE('',#26527,#5475); +#3464=LINE('',#26528,#5476); +#3465=LINE('',#26531,#5477); +#3466=LINE('',#26533,#5478); +#3467=LINE('',#26535,#5479); +#3468=LINE('',#26536,#5480); +#3469=LINE('',#26539,#5481); +#3470=LINE('',#26541,#5482); +#3471=LINE('',#26543,#5483); +#3472=LINE('',#26544,#5484); +#3473=LINE('',#26547,#5485); +#3474=LINE('',#26549,#5486); +#3475=LINE('',#26551,#5487); +#3476=LINE('',#26552,#5488); +#3477=LINE('',#26555,#5489); +#3478=LINE('',#26557,#5490); +#3479=LINE('',#26559,#5491); +#3480=LINE('',#26560,#5492); +#3481=LINE('',#26563,#5493); +#3482=LINE('',#26565,#5494); +#3483=LINE('',#26566,#5495); +#3484=LINE('',#26569,#5496); +#3485=LINE('',#26571,#5497); +#3486=LINE('',#26573,#5498); +#3487=LINE('',#26574,#5499); +#3488=LINE('',#26577,#5500); +#3489=LINE('',#26579,#5501); +#3490=LINE('',#26581,#5502); +#3491=LINE('',#26582,#5503); +#3492=LINE('',#26585,#5504); +#3493=LINE('',#26587,#5505); +#3494=LINE('',#26589,#5506); +#3495=LINE('',#26590,#5507); +#3496=LINE('',#26593,#5508); +#3497=LINE('',#26595,#5509); +#3498=LINE('',#26597,#5510); +#3499=LINE('',#26598,#5511); +#3500=LINE('',#26601,#5512); +#3501=LINE('',#26603,#5513); +#3502=LINE('',#26605,#5514); +#3503=LINE('',#26606,#5515); +#3504=LINE('',#26609,#5516); +#3505=LINE('',#26611,#5517); +#3506=LINE('',#26613,#5518); +#3507=LINE('',#26614,#5519); +#3508=LINE('',#26617,#5520); +#3509=LINE('',#26619,#5521); +#3510=LINE('',#26621,#5522); +#3511=LINE('',#26622,#5523); +#3512=LINE('',#26625,#5524); +#3513=LINE('',#26627,#5525); +#3514=LINE('',#26628,#5526); +#3515=LINE('',#26631,#5527); +#3516=LINE('',#26633,#5528); +#3517=LINE('',#26635,#5529); +#3518=LINE('',#26636,#5530); +#3519=LINE('',#26639,#5531); +#3520=LINE('',#26641,#5532); +#3521=LINE('',#26643,#5533); +#3522=LINE('',#26644,#5534); +#3523=LINE('',#26647,#5535); +#3524=LINE('',#26649,#5536); +#3525=LINE('',#26651,#5537); +#3526=LINE('',#26652,#5538); +#3527=LINE('',#26655,#5539); +#3528=LINE('',#26657,#5540); +#3529=LINE('',#26659,#5541); +#3530=LINE('',#26660,#5542); +#3531=LINE('',#26663,#5543); +#3532=LINE('',#26665,#5544); +#3533=LINE('',#26667,#5545); +#3534=LINE('',#26668,#5546); +#3535=LINE('',#26671,#5547); +#3536=LINE('',#26673,#5548); +#3537=LINE('',#26675,#5549); +#3538=LINE('',#26676,#5550); +#3539=LINE('',#26679,#5551); +#3540=LINE('',#26681,#5552); +#3541=LINE('',#26683,#5553); +#3542=LINE('',#26684,#5554); +#3543=LINE('',#26686,#5555); +#3544=LINE('',#26687,#5556); +#3545=LINE('',#26690,#5557); +#3546=LINE('',#26692,#5558); +#3547=LINE('',#26694,#5559); +#3548=LINE('',#26695,#5560); +#3549=LINE('',#26698,#5561); +#3550=LINE('',#26700,#5562); +#3551=LINE('',#26702,#5563); +#3552=LINE('',#26703,#5564); +#3553=LINE('',#26706,#5565); +#3554=LINE('',#26708,#5566); +#3555=LINE('',#26710,#5567); +#3556=LINE('',#26711,#5568); +#3557=LINE('',#26714,#5569); +#3558=LINE('',#26716,#5570); +#3559=LINE('',#26718,#5571); +#3560=LINE('',#26719,#5572); +#3561=LINE('',#26722,#5573); +#3562=LINE('',#26724,#5574); +#3563=LINE('',#26726,#5575); +#3564=LINE('',#26727,#5576); +#3565=LINE('',#26730,#5577); +#3566=LINE('',#26732,#5578); +#3567=LINE('',#26734,#5579); +#3568=LINE('',#26735,#5580); +#3569=LINE('',#26738,#5581); +#3570=LINE('',#26740,#5582); +#3571=LINE('',#26742,#5583); +#3572=LINE('',#26743,#5584); +#3573=LINE('',#26824,#5585); +#3574=LINE('',#26826,#5586); +#3575=LINE('',#26827,#5587); +#3576=LINE('',#26830,#5588); +#3577=LINE('',#26831,#5589); +#3578=LINE('',#26834,#5590); +#3579=LINE('',#26835,#5591); +#3580=LINE('',#26837,#5592); +#3581=LINE('',#26841,#5593); +#3582=LINE('',#26843,#5594); +#3583=LINE('',#26844,#5595); +#3584=LINE('',#26847,#5596); +#3585=LINE('',#26848,#5597); +#3586=LINE('',#26851,#5598); +#3587=LINE('',#26852,#5599); +#3588=LINE('',#26854,#5600); +#3589=LINE('',#26858,#5601); +#3590=LINE('',#26860,#5602); +#3591=LINE('',#26861,#5603); +#3592=LINE('',#26864,#5604); +#3593=LINE('',#26865,#5605); +#3594=LINE('',#26868,#5606); +#3595=LINE('',#26869,#5607); +#3596=LINE('',#26871,#5608); +#3597=LINE('',#26875,#5609); +#3598=LINE('',#26877,#5610); +#3599=LINE('',#26878,#5611); +#3600=LINE('',#26881,#5612); +#3601=LINE('',#26882,#5613); +#3602=LINE('',#26885,#5614); +#3603=LINE('',#26886,#5615); +#3604=LINE('',#26888,#5616); +#3605=LINE('',#26892,#5617); +#3606=LINE('',#26894,#5618); +#3607=LINE('',#26895,#5619); +#3608=LINE('',#26898,#5620); +#3609=LINE('',#26899,#5621); +#3610=LINE('',#26902,#5622); +#3611=LINE('',#26903,#5623); +#3612=LINE('',#26905,#5624); +#3613=LINE('',#26909,#5625); +#3614=LINE('',#26911,#5626); +#3615=LINE('',#26912,#5627); +#3616=LINE('',#26915,#5628); +#3617=LINE('',#26916,#5629); +#3618=LINE('',#26919,#5630); +#3619=LINE('',#26920,#5631); +#3620=LINE('',#26922,#5632); +#3621=LINE('',#26926,#5633); +#3622=LINE('',#26928,#5634); +#3623=LINE('',#26929,#5635); +#3624=LINE('',#26932,#5636); +#3625=LINE('',#26933,#5637); +#3626=LINE('',#26936,#5638); +#3627=LINE('',#26937,#5639); +#3628=LINE('',#26939,#5640); +#3629=LINE('',#26943,#5641); +#3630=LINE('',#26945,#5642); +#3631=LINE('',#26946,#5643); +#3632=LINE('',#26949,#5644); +#3633=LINE('',#26950,#5645); +#3634=LINE('',#26953,#5646); +#3635=LINE('',#26954,#5647); +#3636=LINE('',#26956,#5648); +#3637=LINE('',#26960,#5649); +#3638=LINE('',#26962,#5650); +#3639=LINE('',#26963,#5651); +#3640=LINE('',#26966,#5652); +#3641=LINE('',#26967,#5653); +#3642=LINE('',#26970,#5654); +#3643=LINE('',#26971,#5655); +#3644=LINE('',#26973,#5656); +#3645=LINE('',#26977,#5657); +#3646=LINE('',#26979,#5658); +#3647=LINE('',#26980,#5659); +#3648=LINE('',#26983,#5660); +#3649=LINE('',#26984,#5661); +#3650=LINE('',#26987,#5662); +#3651=LINE('',#26988,#5663); +#3652=LINE('',#26990,#5664); +#3653=LINE('',#26994,#5665); +#3654=LINE('',#26996,#5666); +#3655=LINE('',#26997,#5667); +#3656=LINE('',#27000,#5668); +#3657=LINE('',#27001,#5669); +#3658=LINE('',#27004,#5670); +#3659=LINE('',#27005,#5671); +#3660=LINE('',#27007,#5672); +#3661=LINE('',#27011,#5673); +#3662=LINE('',#27013,#5674); +#3663=LINE('',#27014,#5675); +#3664=LINE('',#27017,#5676); +#3665=LINE('',#27018,#5677); +#3666=LINE('',#27021,#5678); +#3667=LINE('',#27022,#5679); +#3668=LINE('',#27024,#5680); +#3669=LINE('',#27028,#5681); +#3670=LINE('',#27030,#5682); +#3671=LINE('',#27031,#5683); +#3672=LINE('',#27034,#5684); +#3673=LINE('',#27035,#5685); +#3674=LINE('',#27038,#5686); +#3675=LINE('',#27039,#5687); +#3676=LINE('',#27041,#5688); +#3677=LINE('',#27045,#5689); +#3678=LINE('',#27047,#5690); +#3679=LINE('',#27048,#5691); +#3680=LINE('',#27051,#5692); +#3681=LINE('',#27052,#5693); +#3682=LINE('',#27055,#5694); +#3683=LINE('',#27056,#5695); +#3684=LINE('',#27058,#5696); +#3685=LINE('',#27062,#5697); +#3686=LINE('',#27064,#5698); +#3687=LINE('',#27065,#5699); +#3688=LINE('',#27068,#5700); +#3689=LINE('',#27069,#5701); +#3690=LINE('',#27072,#5702); +#3691=LINE('',#27073,#5703); +#3692=LINE('',#27075,#5704); +#3693=LINE('',#27079,#5705); +#3694=LINE('',#27081,#5706); +#3695=LINE('',#27082,#5707); +#3696=LINE('',#27085,#5708); +#3697=LINE('',#27086,#5709); +#3698=LINE('',#27089,#5710); +#3699=LINE('',#27090,#5711); +#3700=LINE('',#27092,#5712); +#3701=LINE('',#27096,#5713); +#3702=LINE('',#27098,#5714); +#3703=LINE('',#27099,#5715); +#3704=LINE('',#27102,#5716); +#3705=LINE('',#27103,#5717); +#3706=LINE('',#27106,#5718); +#3707=LINE('',#27107,#5719); +#3708=LINE('',#27109,#5720); +#3709=LINE('',#27113,#5721); +#3710=LINE('',#27115,#5722); +#3711=LINE('',#27116,#5723); +#3712=LINE('',#27119,#5724); +#3713=LINE('',#27120,#5725); +#3714=LINE('',#27123,#5726); +#3715=LINE('',#27124,#5727); +#3716=LINE('',#27126,#5728); +#3717=LINE('',#27130,#5729); +#3718=LINE('',#27132,#5730); +#3719=LINE('',#27133,#5731); +#3720=LINE('',#27136,#5732); +#3721=LINE('',#27137,#5733); +#3722=LINE('',#27140,#5734); +#3723=LINE('',#27141,#5735); +#3724=LINE('',#27143,#5736); +#3725=LINE('',#27147,#5737); +#3726=LINE('',#27149,#5738); +#3727=LINE('',#27150,#5739); +#3728=LINE('',#27153,#5740); +#3729=LINE('',#27154,#5741); +#3730=LINE('',#27157,#5742); +#3731=LINE('',#27158,#5743); +#3732=LINE('',#27160,#5744); +#3733=LINE('',#27164,#5745); +#3734=LINE('',#27166,#5746); +#3735=LINE('',#27167,#5747); +#3736=LINE('',#27170,#5748); +#3737=LINE('',#27171,#5749); +#3738=LINE('',#27174,#5750); +#3739=LINE('',#27175,#5751); +#3740=LINE('',#27177,#5752); +#3741=LINE('',#27181,#5753); +#3742=LINE('',#27183,#5754); +#3743=LINE('',#27184,#5755); +#3744=LINE('',#27187,#5756); +#3745=LINE('',#27188,#5757); +#3746=LINE('',#27191,#5758); +#3747=LINE('',#27192,#5759); +#3748=LINE('',#27194,#5760); +#3749=LINE('',#27198,#5761); +#3750=LINE('',#27200,#5762); +#3751=LINE('',#27201,#5763); +#3752=LINE('',#27204,#5764); +#3753=LINE('',#27205,#5765); +#3754=LINE('',#27208,#5766); +#3755=LINE('',#27209,#5767); +#3756=LINE('',#27211,#5768); +#3757=LINE('',#27215,#5769); +#3758=LINE('',#27217,#5770); +#3759=LINE('',#27218,#5771); +#3760=LINE('',#27221,#5772); +#3761=LINE('',#27222,#5773); +#3762=LINE('',#27225,#5774); +#3763=LINE('',#27226,#5775); +#3764=LINE('',#27228,#5776); +#3765=LINE('',#27232,#5777); +#3766=LINE('',#27234,#5778); +#3767=LINE('',#27235,#5779); +#3768=LINE('',#27238,#5780); +#3769=LINE('',#27239,#5781); +#3770=LINE('',#27242,#5782); +#3771=LINE('',#27243,#5783); +#3772=LINE('',#27245,#5784); +#3773=LINE('',#27249,#5785); +#3774=LINE('',#27251,#5786); +#3775=LINE('',#27252,#5787); +#3776=LINE('',#27255,#5788); +#3777=LINE('',#27256,#5789); +#3778=LINE('',#27259,#5790); +#3779=LINE('',#27260,#5791); +#3780=LINE('',#27262,#5792); +#3781=LINE('',#27266,#5793); +#3782=LINE('',#27268,#5794); +#3783=LINE('',#27269,#5795); +#3784=LINE('',#27272,#5796); +#3785=LINE('',#27273,#5797); +#3786=LINE('',#27276,#5798); +#3787=LINE('',#27277,#5799); +#3788=LINE('',#27279,#5800); +#3789=LINE('',#27283,#5801); +#3790=LINE('',#27285,#5802); +#3791=LINE('',#27286,#5803); +#3792=LINE('',#27289,#5804); +#3793=LINE('',#27290,#5805); +#3794=LINE('',#27293,#5806); +#3795=LINE('',#27294,#5807); +#3796=LINE('',#27296,#5808); +#3797=LINE('',#27334,#5809); +#3798=LINE('',#27336,#5810); +#3799=LINE('',#27338,#5811); +#3800=LINE('',#27339,#5812); +#3801=LINE('',#27342,#5813); +#3802=LINE('',#27344,#5814); +#3803=LINE('',#27345,#5815); +#3804=LINE('',#27348,#5816); +#3805=LINE('',#27350,#5817); +#3806=LINE('',#27351,#5818); +#3807=LINE('',#27353,#5819); +#3808=LINE('',#27354,#5820); +#3809=LINE('',#27360,#5821); +#3810=LINE('',#27362,#5822); +#3811=LINE('',#27364,#5823); +#3812=LINE('',#27365,#5824); +#3813=LINE('',#27368,#5825); +#3814=LINE('',#27370,#5826); +#3815=LINE('',#27371,#5827); +#3816=LINE('',#27374,#5828); +#3817=LINE('',#27376,#5829); +#3818=LINE('',#27377,#5830); +#3819=LINE('',#27379,#5831); +#3820=LINE('',#27380,#5832); +#3821=LINE('',#27386,#5833); +#3822=LINE('',#27388,#5834); +#3823=LINE('',#27390,#5835); +#3824=LINE('',#27391,#5836); +#3825=LINE('',#27394,#5837); +#3826=LINE('',#27396,#5838); +#3827=LINE('',#27397,#5839); +#3828=LINE('',#27400,#5840); +#3829=LINE('',#27402,#5841); +#3830=LINE('',#27403,#5842); +#3831=LINE('',#27405,#5843); +#3832=LINE('',#27406,#5844); +#3833=LINE('',#27412,#5845); +#3834=LINE('',#27414,#5846); +#3835=LINE('',#27416,#5847); +#3836=LINE('',#27417,#5848); +#3837=LINE('',#27420,#5849); +#3838=LINE('',#27422,#5850); +#3839=LINE('',#27423,#5851); +#3840=LINE('',#27426,#5852); +#3841=LINE('',#27428,#5853); +#3842=LINE('',#27429,#5854); +#3843=LINE('',#27431,#5855); +#3844=LINE('',#27432,#5856); +#3845=LINE('',#27438,#5857); +#3846=LINE('',#27440,#5858); +#3847=LINE('',#27442,#5859); +#3848=LINE('',#27443,#5860); +#3849=LINE('',#27446,#5861); +#3850=LINE('',#27448,#5862); +#3851=LINE('',#27449,#5863); +#3852=LINE('',#27452,#5864); +#3853=LINE('',#27454,#5865); +#3854=LINE('',#27455,#5866); +#3855=LINE('',#27457,#5867); +#3856=LINE('',#27458,#5868); +#3857=LINE('',#27464,#5869); +#3858=LINE('',#27466,#5870); +#3859=LINE('',#27468,#5871); +#3860=LINE('',#27469,#5872); +#3861=LINE('',#27472,#5873); +#3862=LINE('',#27474,#5874); +#3863=LINE('',#27475,#5875); +#3864=LINE('',#27478,#5876); +#3865=LINE('',#27480,#5877); +#3866=LINE('',#27481,#5878); +#3867=LINE('',#27483,#5879); +#3868=LINE('',#27484,#5880); +#3869=LINE('',#27490,#5881); +#3870=LINE('',#27492,#5882); +#3871=LINE('',#27494,#5883); +#3872=LINE('',#27495,#5884); +#3873=LINE('',#27498,#5885); +#3874=LINE('',#27500,#5886); +#3875=LINE('',#27501,#5887); +#3876=LINE('',#27504,#5888); +#3877=LINE('',#27506,#5889); +#3878=LINE('',#27507,#5890); +#3879=LINE('',#27509,#5891); +#3880=LINE('',#27510,#5892); +#3881=LINE('',#27516,#5893); +#3882=LINE('',#27518,#5894); +#3883=LINE('',#27520,#5895); +#3884=LINE('',#27521,#5896); +#3885=LINE('',#27524,#5897); +#3886=LINE('',#27526,#5898); +#3887=LINE('',#27527,#5899); +#3888=LINE('',#27530,#5900); +#3889=LINE('',#27532,#5901); +#3890=LINE('',#27533,#5902); +#3891=LINE('',#27535,#5903); +#3892=LINE('',#27536,#5904); +#3893=LINE('',#27542,#5905); +#3894=LINE('',#27544,#5906); +#3895=LINE('',#27546,#5907); +#3896=LINE('',#27547,#5908); +#3897=LINE('',#27550,#5909); +#3898=LINE('',#27552,#5910); +#3899=LINE('',#27553,#5911); +#3900=LINE('',#27556,#5912); +#3901=LINE('',#27558,#5913); +#3902=LINE('',#27559,#5914); +#3903=LINE('',#27561,#5915); +#3904=LINE('',#27562,#5916); +#3905=LINE('',#27568,#5917); +#3906=LINE('',#27570,#5918); +#3907=LINE('',#27572,#5919); +#3908=LINE('',#27573,#5920); +#3909=LINE('',#27576,#5921); +#3910=LINE('',#27578,#5922); +#3911=LINE('',#27579,#5923); +#3912=LINE('',#27582,#5924); +#3913=LINE('',#27584,#5925); +#3914=LINE('',#27585,#5926); +#3915=LINE('',#27587,#5927); +#3916=LINE('',#27588,#5928); +#3917=LINE('',#27594,#5929); +#3918=LINE('',#27596,#5930); +#3919=LINE('',#27598,#5931); +#3920=LINE('',#27599,#5932); +#3921=LINE('',#27602,#5933); +#3922=LINE('',#27604,#5934); +#3923=LINE('',#27605,#5935); +#3924=LINE('',#27608,#5936); +#3925=LINE('',#27610,#5937); +#3926=LINE('',#27611,#5938); +#3927=LINE('',#27613,#5939); +#3928=LINE('',#27614,#5940); +#3929=LINE('',#27620,#5941); +#3930=LINE('',#27622,#5942); +#3931=LINE('',#27624,#5943); +#3932=LINE('',#27625,#5944); +#3933=LINE('',#27628,#5945); +#3934=LINE('',#27630,#5946); +#3935=LINE('',#27631,#5947); +#3936=LINE('',#27634,#5948); +#3937=LINE('',#27636,#5949); +#3938=LINE('',#27637,#5950); +#3939=LINE('',#27639,#5951); +#3940=LINE('',#27640,#5952); +#3941=LINE('',#27646,#5953); +#3942=LINE('',#27648,#5954); +#3943=LINE('',#27650,#5955); +#3944=LINE('',#27651,#5956); +#3945=LINE('',#27654,#5957); +#3946=LINE('',#27656,#5958); +#3947=LINE('',#27657,#5959); +#3948=LINE('',#27660,#5960); +#3949=LINE('',#27662,#5961); +#3950=LINE('',#27663,#5962); +#3951=LINE('',#27665,#5963); +#3952=LINE('',#27666,#5964); +#3953=LINE('',#27672,#5965); +#3954=LINE('',#27674,#5966); +#3955=LINE('',#27676,#5967); +#3956=LINE('',#27677,#5968); +#3957=LINE('',#27680,#5969); +#3958=LINE('',#27682,#5970); +#3959=LINE('',#27683,#5971); +#3960=LINE('',#27686,#5972); +#3961=LINE('',#27688,#5973); +#3962=LINE('',#27689,#5974); +#3963=LINE('',#27691,#5975); +#3964=LINE('',#27692,#5976); +#3965=LINE('',#27698,#5977); +#3966=LINE('',#27700,#5978); +#3967=LINE('',#27702,#5979); +#3968=LINE('',#27703,#5980); +#3969=LINE('',#27706,#5981); +#3970=LINE('',#27708,#5982); +#3971=LINE('',#27709,#5983); +#3972=LINE('',#27712,#5984); +#3973=LINE('',#27714,#5985); +#3974=LINE('',#27715,#5986); +#3975=LINE('',#27717,#5987); +#3976=LINE('',#27718,#5988); +#3977=LINE('',#27724,#5989); +#3978=LINE('',#27726,#5990); +#3979=LINE('',#27728,#5991); +#3980=LINE('',#27729,#5992); +#3981=LINE('',#27732,#5993); +#3982=LINE('',#27734,#5994); +#3983=LINE('',#27735,#5995); +#3984=LINE('',#27738,#5996); +#3985=LINE('',#27740,#5997); +#3986=LINE('',#27741,#5998); +#3987=LINE('',#27743,#5999); +#3988=LINE('',#27744,#6000); +#3989=LINE('',#27750,#6001); +#3990=LINE('',#27752,#6002); +#3991=LINE('',#27754,#6003); +#3992=LINE('',#27755,#6004); +#3993=LINE('',#27758,#6005); +#3994=LINE('',#27760,#6006); +#3995=LINE('',#27761,#6007); +#3996=LINE('',#27764,#6008); +#3997=LINE('',#27766,#6009); +#3998=LINE('',#27767,#6010); +#3999=LINE('',#27769,#6011); +#4000=LINE('',#27770,#6012); +#4001=LINE('',#27776,#6013); +#4002=LINE('',#27778,#6014); +#4003=LINE('',#27780,#6015); +#4004=LINE('',#27781,#6016); +#4005=LINE('',#27784,#6017); +#4006=LINE('',#27786,#6018); +#4007=LINE('',#27787,#6019); +#4008=LINE('',#27790,#6020); +#4009=LINE('',#27792,#6021); +#4010=LINE('',#27793,#6022); +#4011=LINE('',#27795,#6023); +#4012=LINE('',#27796,#6024); +#4013=LINE('',#27802,#6025); +#4014=LINE('',#27804,#6026); +#4015=LINE('',#27806,#6027); +#4016=LINE('',#27807,#6028); +#4017=LINE('',#27810,#6029); +#4018=LINE('',#27812,#6030); +#4019=LINE('',#27813,#6031); +#4020=LINE('',#27816,#6032); +#4021=LINE('',#27818,#6033); +#4022=LINE('',#27819,#6034); +#4023=LINE('',#27821,#6035); +#4024=LINE('',#27822,#6036); +#4025=LINE('',#27828,#6037); +#4026=LINE('',#27830,#6038); +#4027=LINE('',#27832,#6039); +#4028=LINE('',#27833,#6040); +#4029=LINE('',#27836,#6041); +#4030=LINE('',#27838,#6042); +#4031=LINE('',#27839,#6043); +#4032=LINE('',#27842,#6044); +#4033=LINE('',#27844,#6045); +#4034=LINE('',#27845,#6046); +#4035=LINE('',#27847,#6047); +#4036=LINE('',#27848,#6048); +#4037=LINE('',#27854,#6049); +#4038=LINE('',#27856,#6050); +#4039=LINE('',#27858,#6051); +#4040=LINE('',#27859,#6052); +#4041=LINE('',#27862,#6053); +#4042=LINE('',#27864,#6054); +#4043=LINE('',#27865,#6055); +#4044=LINE('',#27868,#6056); +#4045=LINE('',#27870,#6057); +#4046=LINE('',#27871,#6058); +#4047=LINE('',#27873,#6059); +#4048=LINE('',#27874,#6060); +#4049=LINE('',#27880,#6061); +#4050=LINE('',#27882,#6062); +#4051=LINE('',#27884,#6063); +#4052=LINE('',#27885,#6064); +#4053=LINE('',#27888,#6065); +#4054=LINE('',#27890,#6066); +#4055=LINE('',#27891,#6067); +#4056=LINE('',#27894,#6068); +#4057=LINE('',#27896,#6069); +#4058=LINE('',#27897,#6070); +#4059=LINE('',#27899,#6071); +#4060=LINE('',#27900,#6072); +#4061=LINE('',#27906,#6073); +#4062=LINE('',#27908,#6074); +#4063=LINE('',#27910,#6075); +#4064=LINE('',#27911,#6076); +#4065=LINE('',#27914,#6077); +#4066=LINE('',#27916,#6078); +#4067=LINE('',#27917,#6079); +#4068=LINE('',#27920,#6080); +#4069=LINE('',#27922,#6081); +#4070=LINE('',#27923,#6082); +#4071=LINE('',#27925,#6083); +#4072=LINE('',#27926,#6084); +#4073=LINE('',#27932,#6085); +#4074=LINE('',#27934,#6086); +#4075=LINE('',#27936,#6087); +#4076=LINE('',#27937,#6088); +#4077=LINE('',#27940,#6089); +#4078=LINE('',#27942,#6090); +#4079=LINE('',#27943,#6091); +#4080=LINE('',#27946,#6092); +#4081=LINE('',#27948,#6093); +#4082=LINE('',#27949,#6094); +#4083=LINE('',#27951,#6095); +#4084=LINE('',#27952,#6096); +#4085=LINE('',#27958,#6097); +#4086=LINE('',#27960,#6098); +#4087=LINE('',#27962,#6099); +#4088=LINE('',#27963,#6100); +#4089=LINE('',#27966,#6101); +#4090=LINE('',#27968,#6102); +#4091=LINE('',#27969,#6103); +#4092=LINE('',#27972,#6104); +#4093=LINE('',#27974,#6105); +#4094=LINE('',#27975,#6106); +#4095=LINE('',#27977,#6107); +#4096=LINE('',#27978,#6108); +#4097=LINE('',#27984,#6109); +#4098=LINE('',#27986,#6110); +#4099=LINE('',#27988,#6111); +#4100=LINE('',#27989,#6112); +#4101=LINE('',#27992,#6113); +#4102=LINE('',#27994,#6114); +#4103=LINE('',#27995,#6115); +#4104=LINE('',#27998,#6116); +#4105=LINE('',#28000,#6117); +#4106=LINE('',#28001,#6118); +#4107=LINE('',#28003,#6119); +#4108=LINE('',#28004,#6120); +#4109=LINE('',#28010,#6121); +#4110=LINE('',#28012,#6122); +#4111=LINE('',#28014,#6123); +#4112=LINE('',#28015,#6124); +#4113=LINE('',#28018,#6125); +#4114=LINE('',#28020,#6126); +#4115=LINE('',#28021,#6127); +#4116=LINE('',#28024,#6128); +#4117=LINE('',#28026,#6129); +#4118=LINE('',#28027,#6130); +#4119=LINE('',#28029,#6131); +#4120=LINE('',#28030,#6132); +#4121=LINE('',#28036,#6133); +#4122=LINE('',#28038,#6134); +#4123=LINE('',#28040,#6135); +#4124=LINE('',#28041,#6136); +#4125=LINE('',#28044,#6137); +#4126=LINE('',#28046,#6138); +#4127=LINE('',#28047,#6139); +#4128=LINE('',#28050,#6140); +#4129=LINE('',#28052,#6141); +#4130=LINE('',#28053,#6142); +#4131=LINE('',#28055,#6143); +#4132=LINE('',#28056,#6144); +#4133=LINE('',#28062,#6145); +#4134=LINE('',#28064,#6146); +#4135=LINE('',#28066,#6147); +#4136=LINE('',#28067,#6148); +#4137=LINE('',#28070,#6149); +#4138=LINE('',#28072,#6150); +#4139=LINE('',#28073,#6151); +#4140=LINE('',#28076,#6152); +#4141=LINE('',#28078,#6153); +#4142=LINE('',#28079,#6154); +#4143=LINE('',#28088,#6155); +#4144=LINE('',#28090,#6156); +#4145=LINE('',#28092,#6157); +#4146=LINE('',#28093,#6158); +#4147=LINE('',#28096,#6159); +#4148=LINE('',#28098,#6160); +#4149=LINE('',#28099,#6161); +#4150=LINE('',#28102,#6162); +#4151=LINE('',#28104,#6163); +#4152=LINE('',#28105,#6164); +#4153=LINE('',#28114,#6165); +#4154=LINE('',#28116,#6166); +#4155=LINE('',#28118,#6167); +#4156=LINE('',#28119,#6168); +#4157=LINE('',#28122,#6169); +#4158=LINE('',#28124,#6170); +#4159=LINE('',#28125,#6171); +#4160=LINE('',#28128,#6172); +#4161=LINE('',#28130,#6173); +#4162=LINE('',#28131,#6174); +#4163=LINE('',#28140,#6175); +#4164=LINE('',#28142,#6176); +#4165=LINE('',#28144,#6177); +#4166=LINE('',#28145,#6178); +#4167=LINE('',#28148,#6179); +#4168=LINE('',#28150,#6180); +#4169=LINE('',#28151,#6181); +#4170=LINE('',#28154,#6182); +#4171=LINE('',#28156,#6183); +#4172=LINE('',#28157,#6184); +#4173=LINE('',#28166,#6185); +#4174=LINE('',#28168,#6186); +#4175=LINE('',#28170,#6187); +#4176=LINE('',#28171,#6188); +#4177=LINE('',#28174,#6189); +#4178=LINE('',#28176,#6190); +#4179=LINE('',#28177,#6191); +#4180=LINE('',#28180,#6192); +#4181=LINE('',#28182,#6193); +#4182=LINE('',#28183,#6194); +#4183=LINE('',#28192,#6195); +#4184=LINE('',#28194,#6196); +#4185=LINE('',#28196,#6197); +#4186=LINE('',#28197,#6198); +#4187=LINE('',#28200,#6199); +#4188=LINE('',#28202,#6200); +#4189=LINE('',#28203,#6201); +#4190=LINE('',#28206,#6202); +#4191=LINE('',#28208,#6203); +#4192=LINE('',#28209,#6204); +#4193=LINE('',#28218,#6205); +#4194=LINE('',#28220,#6206); +#4195=LINE('',#28222,#6207); +#4196=LINE('',#28223,#6208); +#4197=LINE('',#28226,#6209); +#4198=LINE('',#28228,#6210); +#4199=LINE('',#28229,#6211); +#4200=LINE('',#28232,#6212); +#4201=LINE('',#28234,#6213); +#4202=LINE('',#28235,#6214); +#4203=LINE('',#28244,#6215); +#4204=LINE('',#28246,#6216); +#4205=LINE('',#28248,#6217); +#4206=LINE('',#28249,#6218); +#4207=LINE('',#28252,#6219); +#4208=LINE('',#28254,#6220); +#4209=LINE('',#28255,#6221); +#4210=LINE('',#28258,#6222); +#4211=LINE('',#28260,#6223); +#4212=LINE('',#28261,#6224); +#4213=LINE('',#28270,#6225); +#4214=LINE('',#28272,#6226); +#4215=LINE('',#28274,#6227); +#4216=LINE('',#28275,#6228); +#4217=LINE('',#28278,#6229); +#4218=LINE('',#28280,#6230); +#4219=LINE('',#28281,#6231); +#4220=LINE('',#28284,#6232); +#4221=LINE('',#28286,#6233); +#4222=LINE('',#28287,#6234); +#4223=LINE('',#28296,#6235); +#4224=LINE('',#28298,#6236); +#4225=LINE('',#28300,#6237); +#4226=LINE('',#28301,#6238); +#4227=LINE('',#28304,#6239); +#4228=LINE('',#28306,#6240); +#4229=LINE('',#28307,#6241); +#4230=LINE('',#28310,#6242); +#4231=LINE('',#28312,#6243); +#4232=LINE('',#28313,#6244); +#4233=LINE('',#28322,#6245); +#4234=LINE('',#28324,#6246); +#4235=LINE('',#28326,#6247); +#4236=LINE('',#28327,#6248); +#4237=LINE('',#28330,#6249); +#4238=LINE('',#28332,#6250); +#4239=LINE('',#28333,#6251); +#4240=LINE('',#28336,#6252); +#4241=LINE('',#28338,#6253); +#4242=LINE('',#28339,#6254); +#4243=LINE('',#28348,#6255); +#4244=LINE('',#28350,#6256); +#4245=LINE('',#28352,#6257); +#4246=LINE('',#28353,#6258); +#4247=LINE('',#28356,#6259); +#4248=LINE('',#28358,#6260); +#4249=LINE('',#28359,#6261); +#4250=LINE('',#28362,#6262); +#4251=LINE('',#28364,#6263); +#4252=LINE('',#28365,#6264); +#4253=LINE('',#28374,#6265); +#4254=LINE('',#28376,#6266); +#4255=LINE('',#28378,#6267); +#4256=LINE('',#28379,#6268); +#4257=LINE('',#28382,#6269); +#4258=LINE('',#28384,#6270); +#4259=LINE('',#28385,#6271); +#4260=LINE('',#28388,#6272); +#4261=LINE('',#28390,#6273); +#4262=LINE('',#28391,#6274); +#4263=LINE('',#28400,#6275); +#4264=LINE('',#28402,#6276); +#4265=LINE('',#28404,#6277); +#4266=LINE('',#28405,#6278); +#4267=LINE('',#28408,#6279); +#4268=LINE('',#28410,#6280); +#4269=LINE('',#28411,#6281); +#4270=LINE('',#28414,#6282); +#4271=LINE('',#28416,#6283); +#4272=LINE('',#28417,#6284); +#4273=LINE('',#28426,#6285); +#4274=LINE('',#28428,#6286); +#4275=LINE('',#28430,#6287); +#4276=LINE('',#28431,#6288); +#4277=LINE('',#28434,#6289); +#4278=LINE('',#28436,#6290); +#4279=LINE('',#28437,#6291); +#4280=LINE('',#28440,#6292); +#4281=LINE('',#28442,#6293); +#4282=LINE('',#28443,#6294); +#4283=LINE('',#28452,#6295); +#4284=LINE('',#28454,#6296); +#4285=LINE('',#28456,#6297); +#4286=LINE('',#28457,#6298); +#4287=LINE('',#28460,#6299); +#4288=LINE('',#28462,#6300); +#4289=LINE('',#28463,#6301); +#4290=LINE('',#28466,#6302); +#4291=LINE('',#28468,#6303); +#4292=LINE('',#28469,#6304); +#4293=LINE('',#28478,#6305); +#4294=LINE('',#28480,#6306); +#4295=LINE('',#28482,#6307); +#4296=LINE('',#28483,#6308); +#4297=LINE('',#28486,#6309); +#4298=LINE('',#28488,#6310); +#4299=LINE('',#28489,#6311); +#4300=LINE('',#28492,#6312); +#4301=LINE('',#28494,#6313); +#4302=LINE('',#28495,#6314); +#4303=LINE('',#28504,#6315); +#4304=LINE('',#28506,#6316); +#4305=LINE('',#28508,#6317); +#4306=LINE('',#28509,#6318); +#4307=LINE('',#28512,#6319); +#4308=LINE('',#28514,#6320); +#4309=LINE('',#28515,#6321); +#4310=LINE('',#28518,#6322); +#4311=LINE('',#28520,#6323); +#4312=LINE('',#28521,#6324); +#4313=LINE('',#28530,#6325); +#4314=LINE('',#28532,#6326); +#4315=LINE('',#28534,#6327); +#4316=LINE('',#28535,#6328); +#4317=LINE('',#28538,#6329); +#4318=LINE('',#28540,#6330); +#4319=LINE('',#28541,#6331); +#4320=LINE('',#28544,#6332); +#4321=LINE('',#28546,#6333); +#4322=LINE('',#28547,#6334); +#4323=LINE('',#28556,#6335); +#4324=LINE('',#28558,#6336); +#4325=LINE('',#28560,#6337); +#4326=LINE('',#28561,#6338); +#4327=LINE('',#28564,#6339); +#4328=LINE('',#28566,#6340); +#4329=LINE('',#28567,#6341); +#4330=LINE('',#28570,#6342); +#4331=LINE('',#28572,#6343); +#4332=LINE('',#28573,#6344); +#4333=LINE('',#28582,#6345); +#4334=LINE('',#28584,#6346); +#4335=LINE('',#28586,#6347); +#4336=LINE('',#28587,#6348); +#4337=LINE('',#28590,#6349); +#4338=LINE('',#28592,#6350); +#4339=LINE('',#28593,#6351); +#4340=LINE('',#28596,#6352); +#4341=LINE('',#28598,#6353); +#4342=LINE('',#28599,#6354); +#4343=LINE('',#28608,#6355); +#4344=LINE('',#28610,#6356); +#4345=LINE('',#28612,#6357); +#4346=LINE('',#28613,#6358); +#4347=LINE('',#28616,#6359); +#4348=LINE('',#28618,#6360); +#4349=LINE('',#28619,#6361); +#4350=LINE('',#28622,#6362); +#4351=LINE('',#28624,#6363); +#4352=LINE('',#28625,#6364); +#4353=LINE('',#28634,#6365); +#4354=LINE('',#28636,#6366); +#4355=LINE('',#28638,#6367); +#4356=LINE('',#28639,#6368); +#4357=LINE('',#28642,#6369); +#4358=LINE('',#28644,#6370); +#4359=LINE('',#28645,#6371); +#4360=LINE('',#28648,#6372); +#4361=LINE('',#28650,#6373); +#4362=LINE('',#28651,#6374); +#4363=LINE('',#28660,#6375); +#4364=LINE('',#28662,#6376); +#4365=LINE('',#28664,#6377); +#4366=LINE('',#28665,#6378); +#4367=LINE('',#28668,#6379); +#4368=LINE('',#28670,#6380); +#4369=LINE('',#28671,#6381); +#4370=LINE('',#28674,#6382); +#4371=LINE('',#28676,#6383); +#4372=LINE('',#28677,#6384); +#4373=LINE('',#28686,#6385); +#4374=LINE('',#28688,#6386); +#4375=LINE('',#28690,#6387); +#4376=LINE('',#28691,#6388); +#4377=LINE('',#28694,#6389); +#4378=LINE('',#28696,#6390); +#4379=LINE('',#28697,#6391); +#4380=LINE('',#28700,#6392); +#4381=LINE('',#28702,#6393); +#4382=LINE('',#28703,#6394); +#4383=LINE('',#28712,#6395); +#4384=LINE('',#28714,#6396); +#4385=LINE('',#28716,#6397); +#4386=LINE('',#28717,#6398); +#4387=LINE('',#28720,#6399); +#4388=LINE('',#28722,#6400); +#4389=LINE('',#28723,#6401); +#4390=LINE('',#28726,#6402); +#4391=LINE('',#28728,#6403); +#4392=LINE('',#28729,#6404); +#4393=LINE('',#28738,#6405); +#4394=LINE('',#28740,#6406); +#4395=LINE('',#28742,#6407); +#4396=LINE('',#28743,#6408); +#4397=LINE('',#28746,#6409); +#4398=LINE('',#28748,#6410); +#4399=LINE('',#28749,#6411); +#4400=LINE('',#28752,#6412); +#4401=LINE('',#28754,#6413); +#4402=LINE('',#28755,#6414); +#4403=LINE('',#28764,#6415); +#4404=LINE('',#28766,#6416); +#4405=LINE('',#28768,#6417); +#4406=LINE('',#28769,#6418); +#4407=LINE('',#28772,#6419); +#4408=LINE('',#28774,#6420); +#4409=LINE('',#28775,#6421); +#4410=LINE('',#28778,#6422); +#4411=LINE('',#28780,#6423); +#4412=LINE('',#28781,#6424); +#4413=LINE('',#28790,#6425); +#4414=LINE('',#28792,#6426); +#4415=LINE('',#28794,#6427); +#4416=LINE('',#28795,#6428); +#4417=LINE('',#28798,#6429); +#4418=LINE('',#28800,#6430); +#4419=LINE('',#28801,#6431); +#4420=LINE('',#28804,#6432); +#4421=LINE('',#28806,#6433); +#4422=LINE('',#28807,#6434); +#4423=LINE('',#28810,#6435); +#4424=LINE('',#28812,#6436); +#4425=LINE('',#28813,#6437); +#4426=LINE('',#28816,#6438); +#4427=LINE('',#28818,#6439); +#4428=LINE('',#28819,#6440); +#4429=LINE('',#28822,#6441); +#4430=LINE('',#28824,#6442); +#4431=LINE('',#28825,#6443); +#4432=LINE('',#28828,#6444); +#4433=LINE('',#28830,#6445); +#4434=LINE('',#28831,#6446); +#4435=LINE('',#28834,#6447); +#4436=LINE('',#28836,#6448); +#4437=LINE('',#28837,#6449); +#4438=LINE('',#28840,#6450); +#4439=LINE('',#28842,#6451); +#4440=LINE('',#28843,#6452); +#4441=LINE('',#28846,#6453); +#4442=LINE('',#28848,#6454); +#4443=LINE('',#28849,#6455); +#4444=LINE('',#28852,#6456); +#4445=LINE('',#28854,#6457); +#4446=LINE('',#28855,#6458); +#4447=LINE('',#28858,#6459); +#4448=LINE('',#28860,#6460); +#4449=LINE('',#28861,#6461); +#4450=LINE('',#28864,#6462); +#4451=LINE('',#28866,#6463); +#4452=LINE('',#28867,#6464); +#4453=LINE('',#28869,#6465); +#4454=LINE('',#28870,#6466); +#4455=LINE('',#28879,#6467); +#4456=LINE('',#28882,#6468); +#4457=LINE('',#28885,#6469); +#4458=LINE('',#28887,#6470); +#4459=LINE('',#28894,#6471); +#4460=LINE('',#28897,#6472); +#4461=LINE('',#28900,#6473); +#4462=LINE('',#28902,#6474); +#4463=LINE('',#28909,#6475); +#4464=LINE('',#28912,#6476); +#4465=LINE('',#28915,#6477); +#4466=LINE('',#28917,#6478); +#4467=LINE('',#28921,#6479); +#4468=LINE('',#28924,#6480); +#4469=LINE('',#28927,#6481); +#4470=LINE('',#28929,#6482); +#4471=LINE('',#28931,#6483); +#4472=LINE('',#28933,#6484); +#4473=LINE('',#28934,#6485); +#4474=LINE('',#28937,#6486); +#4475=LINE('',#28940,#6487); +#4476=LINE('',#28943,#6488); +#4477=LINE('',#28946,#6489); +#4478=LINE('',#28951,#6490); +#4479=LINE('',#28955,#6491); +#4480=LINE('',#28958,#6492); +#4481=LINE('',#28959,#6493); +#4482=LINE('',#28960,#6494); +#4483=LINE('',#28966,#6495); +#4484=LINE('',#28969,#6496); +#4485=LINE('',#28980,#6497); +#4486=LINE('',#28981,#6498); +#4487=LINE('',#28992,#6499); +#4488=LINE('',#28993,#6500); +#4489=LINE('',#29004,#6501); +#4490=LINE('',#29005,#6502); +#4491=LINE('',#29026,#6503); +#4492=LINE('',#29042,#6504); +#4493=LINE('',#29046,#6505); +#4494=LINE('',#29047,#6506); +#4495=LINE('',#29048,#6507); +#4496=LINE('',#29053,#6508); +#4497=LINE('',#29068,#6509); +#4498=LINE('',#29081,#6510); +#4499=LINE('',#29091,#6511); +#4500=LINE('',#29094,#6512); +#4501=LINE('',#29097,#6513); +#4502=LINE('',#29100,#6514); +#4503=LINE('',#29103,#6515); +#4504=LINE('',#29106,#6516); +#4505=LINE('',#29117,#6517); +#4506=LINE('',#29134,#6518); +#4507=LINE('',#29137,#6519); +#4508=LINE('',#29138,#6520); +#4509=LINE('',#29145,#6521); +#4510=LINE('',#29146,#6522); +#4511=LINE('',#29149,#6523); +#4512=LINE('',#29150,#6524); +#4513=LINE('',#29157,#6525); +#4514=LINE('',#29158,#6526); +#4515=LINE('',#29164,#6527); +#4516=LINE('',#29170,#6528); +#4517=LINE('',#29173,#6529); +#4518=LINE('',#29178,#6530); +#4519=LINE('',#29181,#6531); +#4520=LINE('',#29186,#6532); +#4521=LINE('',#29189,#6533); +#4522=LINE('',#29193,#6534); +#4523=LINE('',#29198,#6535); +#4524=LINE('',#29202,#6536); +#4525=LINE('',#29208,#6537); +#4526=LINE('',#29225,#6538); +#4527=LINE('',#29233,#6539); +#4528=LINE('',#29234,#6540); +#4529=LINE('',#29239,#6541); +#4530=LINE('',#29240,#6542); +#4531=LINE('',#29245,#6543); +#4532=LINE('',#29246,#6544); +#4533=LINE('',#29249,#6545); +#4534=LINE('',#29250,#6546); +#4535=LINE('',#29255,#6547); +#4536=LINE('',#29256,#6548); +#4537=LINE('',#29261,#6549); +#4538=LINE('',#29262,#6550); +#4539=LINE('',#29286,#6551); +#4540=LINE('',#29289,#6552); +#4541=LINE('',#29292,#6553); +#4542=LINE('',#29295,#6554); +#4543=LINE('',#29298,#6555); +#4544=LINE('',#29301,#6556); +#4545=LINE('',#29312,#6557); +#4546=LINE('',#29329,#6558); +#4547=LINE('',#29332,#6559); +#4548=LINE('',#29333,#6560); +#4549=LINE('',#29340,#6561); +#4550=LINE('',#29341,#6562); +#4551=LINE('',#29344,#6563); +#4552=LINE('',#29345,#6564); +#4553=LINE('',#29352,#6565); +#4554=LINE('',#29353,#6566); +#4555=LINE('',#29359,#6567); +#4556=LINE('',#29365,#6568); +#4557=LINE('',#29368,#6569); +#4558=LINE('',#29373,#6570); +#4559=LINE('',#29376,#6571); +#4560=LINE('',#29381,#6572); +#4561=LINE('',#29384,#6573); +#4562=LINE('',#29388,#6574); +#4563=LINE('',#29393,#6575); +#4564=LINE('',#29397,#6576); +#4565=LINE('',#29403,#6577); +#4566=LINE('',#29420,#6578); +#4567=LINE('',#29428,#6579); +#4568=LINE('',#29429,#6580); +#4569=LINE('',#29434,#6581); +#4570=LINE('',#29435,#6582); +#4571=LINE('',#29440,#6583); +#4572=LINE('',#29441,#6584); +#4573=LINE('',#29444,#6585); +#4574=LINE('',#29445,#6586); +#4575=LINE('',#29450,#6587); +#4576=LINE('',#29451,#6588); +#4577=LINE('',#29456,#6589); +#4578=LINE('',#29457,#6590); +#4579=LINE('',#29508,#6591); +#4580=LINE('',#29538,#6592); +#4581=LINE('',#29541,#6593); +#4582=LINE('',#29544,#6594); +#4583=LINE('',#29547,#6595); +#4584=LINE('',#29550,#6596); +#4585=LINE('',#29561,#6597); +#4586=LINE('',#29578,#6598); +#4587=LINE('',#29581,#6599); +#4588=LINE('',#29582,#6600); +#4589=LINE('',#29589,#6601); +#4590=LINE('',#29590,#6602); +#4591=LINE('',#29593,#6603); +#4592=LINE('',#29594,#6604); +#4593=LINE('',#29601,#6605); +#4594=LINE('',#29602,#6606); +#4595=LINE('',#29662,#6607); +#4596=LINE('',#29722,#6608); +#4597=LINE('',#29725,#6609); +#4598=LINE('',#29729,#6610); +#4599=LINE('',#29733,#6611); +#4600=LINE('',#29738,#6612); +#4601=LINE('',#29741,#6613); +#4602=LINE('',#29746,#6614); +#4603=LINE('',#29749,#6615); +#4604=LINE('',#29754,#6616); +#4605=LINE('',#29760,#6617); +#4606=LINE('',#29777,#6618); +#4607=LINE('',#29785,#6619); +#4608=LINE('',#29786,#6620); +#4609=LINE('',#29791,#6621); +#4610=LINE('',#29792,#6622); +#4611=LINE('',#29797,#6623); +#4612=LINE('',#29798,#6624); +#4613=LINE('',#29801,#6625); +#4614=LINE('',#29802,#6626); +#4615=LINE('',#29807,#6627); +#4616=LINE('',#29808,#6628); +#4617=LINE('',#29813,#6629); +#4618=LINE('',#29814,#6630); +#4619=LINE('',#29892,#6631); +#4620=LINE('',#29895,#6632); +#4621=LINE('',#29898,#6633); +#4622=LINE('',#29901,#6634); +#4623=LINE('',#29904,#6635); +#4624=LINE('',#29907,#6636); +#4625=LINE('',#29918,#6637); +#4626=LINE('',#29935,#6638); +#4627=LINE('',#29938,#6639); +#4628=LINE('',#29939,#6640); +#4629=LINE('',#29946,#6641); +#4630=LINE('',#29947,#6642); +#4631=LINE('',#29950,#6643); +#4632=LINE('',#29951,#6644); +#4633=LINE('',#29958,#6645); +#4634=LINE('',#29959,#6646); +#4635=LINE('',#29965,#6647); +#4636=LINE('',#29971,#6648); +#4637=LINE('',#29974,#6649); +#4638=LINE('',#29979,#6650); +#4639=LINE('',#29982,#6651); +#4640=LINE('',#29987,#6652); +#4641=LINE('',#29990,#6653); +#4642=LINE('',#29994,#6654); +#4643=LINE('',#29999,#6655); +#4644=LINE('',#30003,#6656); +#4645=LINE('',#30009,#6657); +#4646=LINE('',#30026,#6658); +#4647=LINE('',#30034,#6659); +#4648=LINE('',#30035,#6660); +#4649=LINE('',#30040,#6661); +#4650=LINE('',#30041,#6662); +#4651=LINE('',#30046,#6663); +#4652=LINE('',#30047,#6664); +#4653=LINE('',#30050,#6665); +#4654=LINE('',#30051,#6666); +#4655=LINE('',#30056,#6667); +#4656=LINE('',#30057,#6668); +#4657=LINE('',#30062,#6669); +#4658=LINE('',#30063,#6670); +#4659=LINE('',#30085,#6671); +#4660=LINE('',#30087,#6672); +#4661=LINE('',#30089,#6673); +#4662=LINE('',#30090,#6674); +#4663=LINE('',#30094,#6675); +#4664=LINE('',#30096,#6676); +#4665=LINE('',#30098,#6677); +#4666=LINE('',#30100,#6678); +#4667=LINE('',#30102,#6679); +#4668=LINE('',#30104,#6680); +#4669=LINE('',#30106,#6681); +#4670=LINE('',#30108,#6682); +#4671=LINE('',#30110,#6683); +#4672=LINE('',#30112,#6684); +#4673=LINE('',#30114,#6685); +#4674=LINE('',#30115,#6686); +#4675=LINE('',#30119,#6687); +#4676=LINE('',#30121,#6688); +#4677=LINE('',#30123,#6689); +#4678=LINE('',#30124,#6690); +#4679=LINE('',#30128,#6691); +#4680=LINE('',#30130,#6692); +#4681=LINE('',#30132,#6693); +#4682=LINE('',#30134,#6694); +#4683=LINE('',#30136,#6695); +#4684=LINE('',#30138,#6696); +#4685=LINE('',#30140,#6697); +#4686=LINE('',#30141,#6698); +#4687=LINE('',#30145,#6699); +#4688=LINE('',#30147,#6700); +#4689=LINE('',#30149,#6701); +#4690=LINE('',#30150,#6702); +#4691=LINE('',#30153,#6703); +#4692=LINE('',#30155,#6704); +#4693=LINE('',#30156,#6705); +#4694=LINE('',#30160,#6706); +#4695=LINE('',#30161,#6707); +#4696=LINE('',#30163,#6708); +#4697=LINE('',#30165,#6709); +#4698=LINE('',#30166,#6710); +#4699=LINE('',#30167,#6711); +#4700=LINE('',#30171,#6712); +#4701=LINE('',#30172,#6713); +#4702=LINE('',#30173,#6714); +#4703=LINE('',#30177,#6715); +#4704=LINE('',#30178,#6716); +#4705=LINE('',#30180,#6717); +#4706=LINE('',#30182,#6718); +#4707=LINE('',#30183,#6719); +#4708=LINE('',#30185,#6720); +#4709=LINE('',#30187,#6721); +#4710=LINE('',#30188,#6722); +#4711=LINE('',#30189,#6723); +#4712=LINE('',#30193,#6724); +#4713=LINE('',#30195,#6725); +#4714=LINE('',#30197,#6726); +#4715=LINE('',#30198,#6727); +#4716=LINE('',#30202,#6728); +#4717=LINE('',#30204,#6729); +#4718=LINE('',#30206,#6730); +#4719=LINE('',#30207,#6731); +#4720=LINE('',#30210,#6732); +#4721=LINE('',#30212,#6733); +#4722=LINE('',#30213,#6734); +#4723=LINE('',#30217,#6735); +#4724=LINE('',#30219,#6736); +#4725=LINE('',#30221,#6737); +#4726=LINE('',#30222,#6738); +#4727=LINE('',#30225,#6739); +#4728=LINE('',#30227,#6740); +#4729=LINE('',#30228,#6741); +#4730=LINE('',#30232,#6742); +#4731=LINE('',#30234,#6743); +#4732=LINE('',#30236,#6744); +#4733=LINE('',#30237,#6745); +#4734=LINE('',#30240,#6746); +#4735=LINE('',#30242,#6747); +#4736=LINE('',#30243,#6748); +#4737=LINE('',#30313,#6749); +#4738=LINE('',#30315,#6750); +#4739=LINE('',#30317,#6751); +#4740=LINE('',#30318,#6752); +#4741=LINE('',#30321,#6753); +#4742=LINE('',#30323,#6754); +#4743=LINE('',#30324,#6755); +#4744=LINE('',#30328,#6756); +#4745=LINE('',#30330,#6757); +#4746=LINE('',#30332,#6758); +#4747=LINE('',#30333,#6759); +#4748=LINE('',#30336,#6760); +#4749=LINE('',#30338,#6761); +#4750=LINE('',#30339,#6762); +#4751=LINE('',#30343,#6763); +#4752=LINE('',#30345,#6764); +#4753=LINE('',#30347,#6765); +#4754=LINE('',#30348,#6766); +#4755=LINE('',#30351,#6767); +#4756=LINE('',#30353,#6768); +#4757=LINE('',#30354,#6769); +#4758=LINE('',#30372,#6770); +#4759=LINE('',#30374,#6771); +#4760=LINE('',#30376,#6772); +#4761=LINE('',#30377,#6773); +#4762=LINE('',#30380,#6774); +#4763=LINE('',#30382,#6775); +#4764=LINE('',#30383,#6776); +#4765=LINE('',#30387,#6777); +#4766=LINE('',#30389,#6778); +#4767=LINE('',#30391,#6779); +#4768=LINE('',#30392,#6780); +#4769=LINE('',#30395,#6781); +#4770=LINE('',#30397,#6782); +#4771=LINE('',#30398,#6783); +#4772=LINE('',#30402,#6784); +#4773=LINE('',#30404,#6785); +#4774=LINE('',#30406,#6786); +#4775=LINE('',#30407,#6787); +#4776=LINE('',#30410,#6788); +#4777=LINE('',#30412,#6789); +#4778=LINE('',#30413,#6790); +#4779=LINE('',#30432,#6791); +#4780=LINE('',#30434,#6792); +#4781=LINE('',#30436,#6793); +#4782=LINE('',#30437,#6794); +#4783=LINE('',#30441,#6795); +#4784=LINE('',#30443,#6796); +#4785=LINE('',#30445,#6797); +#4786=LINE('',#30446,#6798); +#4787=LINE('',#30449,#6799); +#4788=LINE('',#30451,#6800); +#4789=LINE('',#30452,#6801); +#4790=LINE('',#30455,#6802); +#4791=LINE('',#30457,#6803); +#4792=LINE('',#30458,#6804); +#4793=LINE('',#30461,#6805); +#4794=LINE('',#30462,#6806); +#4795=LINE('',#30466,#6807); +#4796=LINE('',#30468,#6808); +#4797=LINE('',#30470,#6809); +#4798=LINE('',#30471,#6810); +#4799=LINE('',#30474,#6811); +#4800=LINE('',#30475,#6812); +#4801=LINE('',#30478,#6813); +#4802=LINE('',#30480,#6814); +#4803=LINE('',#30481,#6815); +#4804=LINE('',#30484,#6816); +#4805=LINE('',#30486,#6817); +#4806=LINE('',#30487,#6818); +#4807=LINE('',#30490,#6819); +#4808=LINE('',#30492,#6820); +#4809=LINE('',#30494,#6821); +#4810=LINE('',#30495,#6822); +#4811=LINE('',#30497,#6823); +#4812=LINE('',#30500,#6824); +#4813=LINE('',#30502,#6825); +#4814=LINE('',#30503,#6826); +#4815=LINE('',#30506,#6827); +#4816=LINE('',#30507,#6828); +#4817=LINE('',#30510,#6829); +#4818=LINE('',#30511,#6830); +#4819=LINE('',#30513,#6831); +#4820=LINE('',#30516,#6832); +#4821=LINE('',#30517,#6833); +#4822=LINE('',#30520,#6834); +#4823=LINE('',#30521,#6835); +#4824=LINE('',#30524,#6836); +#4825=LINE('',#30525,#6837); +#4826=LINE('',#30528,#6838); +#4827=LINE('',#30534,#6839); +#4828=LINE('',#30536,#6840); +#4829=LINE('',#30538,#6841); +#4830=LINE('',#30539,#6842); +#4831=LINE('',#30543,#6843); +#4832=LINE('',#30545,#6844); +#4833=LINE('',#30547,#6845); +#4834=LINE('',#30549,#6846); +#4835=LINE('',#30551,#6847); +#4836=LINE('',#30553,#6848); +#4837=LINE('',#30555,#6849); +#4838=LINE('',#30557,#6850); +#4839=LINE('',#30559,#6851); +#4840=LINE('',#30561,#6852); +#4841=LINE('',#30563,#6853); +#4842=LINE('',#30565,#6854); +#4843=LINE('',#30567,#6855); +#4844=LINE('',#30569,#6856); +#4845=LINE('',#30571,#6857); +#4846=LINE('',#30572,#6858); +#4847=LINE('',#30576,#6859); +#4848=LINE('',#30578,#6860); +#4849=LINE('',#30580,#6861); +#4850=LINE('',#30581,#6862); +#4851=LINE('',#30585,#6863); +#4852=LINE('',#30587,#6864); +#4853=LINE('',#30589,#6865); +#4854=LINE('',#30591,#6866); +#4855=LINE('',#30593,#6867); +#4856=LINE('',#30595,#6868); +#4857=LINE('',#30597,#6869); +#4858=LINE('',#30599,#6870); +#4859=LINE('',#30601,#6871); +#4860=LINE('',#30603,#6872); +#4861=LINE('',#30605,#6873); +#4862=LINE('',#30607,#6874); +#4863=LINE('',#30609,#6875); +#4864=LINE('',#30611,#6876); +#4865=LINE('',#30613,#6877); +#4866=LINE('',#30614,#6878); +#4867=LINE('',#30618,#6879); +#4868=LINE('',#30620,#6880); +#4869=LINE('',#30622,#6881); +#4870=LINE('',#30623,#6882); +#4871=LINE('',#30627,#6883); +#4872=LINE('',#30628,#6884); +#4873=LINE('',#30629,#6885); +#4874=LINE('',#30633,#6886); +#4875=LINE('',#30634,#6887); +#4876=LINE('',#30636,#6888); +#4877=LINE('',#30638,#6889); +#4878=LINE('',#30639,#6890); +#4879=LINE('',#30641,#6891); +#4880=LINE('',#30643,#6892); +#4881=LINE('',#30644,#6893); +#4882=LINE('',#30646,#6894); +#4883=LINE('',#30648,#6895); +#4884=LINE('',#30649,#6896); +#4885=LINE('',#30650,#6897); +#4886=LINE('',#30653,#6898); +#4887=LINE('',#30655,#6899); +#4888=LINE('',#30656,#6900); +#4889=LINE('',#30659,#6901); +#4890=LINE('',#30661,#6902); +#4891=LINE('',#30662,#6903); +#4892=LINE('',#30664,#6904); +#4893=LINE('',#30666,#6905); +#4894=LINE('',#30667,#6906); +#4895=LINE('',#30669,#6907); +#4896=LINE('',#30671,#6908); +#4897=LINE('',#30672,#6909); +#4898=LINE('',#30674,#6910); +#4899=LINE('',#30676,#6911); +#4900=LINE('',#30677,#6912); +#4901=LINE('',#30681,#6913); +#4902=LINE('',#30683,#6914); +#4903=LINE('',#30685,#6915); +#4904=LINE('',#30686,#6916); +#4905=LINE('',#30742,#6917); +#4906=LINE('',#30744,#6918); +#4907=LINE('',#30746,#6919); +#4908=LINE('',#30747,#6920); +#4909=LINE('',#30750,#6921); +#4910=LINE('',#30752,#6922); +#4911=LINE('',#30753,#6923); +#4912=LINE('',#30757,#6924); +#4913=LINE('',#30759,#6925); +#4914=LINE('',#30761,#6926); +#4915=LINE('',#30762,#6927); +#4916=LINE('',#30765,#6928); +#4917=LINE('',#30767,#6929); +#4918=LINE('',#30768,#6930); +#4919=LINE('',#30771,#6931); +#4920=LINE('',#30773,#6932); +#4921=LINE('',#30774,#6933); +#4922=LINE('',#30778,#6934); +#4923=LINE('',#30780,#6935); +#4924=LINE('',#30782,#6936); +#4925=LINE('',#30783,#6937); +#4926=LINE('',#30786,#6938); +#4927=LINE('',#30788,#6939); +#4928=LINE('',#30789,#6940); +#4929=LINE('',#30807,#6941); +#4930=LINE('',#30809,#6942); +#4931=LINE('',#30811,#6943); +#4932=LINE('',#30812,#6944); +#4933=LINE('',#30815,#6945); +#4934=LINE('',#30817,#6946); +#4935=LINE('',#30818,#6947); +#4936=LINE('',#30822,#6948); +#4937=LINE('',#30824,#6949); +#4938=LINE('',#30826,#6950); +#4939=LINE('',#30827,#6951); +#4940=LINE('',#30830,#6952); +#4941=LINE('',#30832,#6953); +#4942=LINE('',#30833,#6954); +#4943=LINE('',#30836,#6955); +#4944=LINE('',#30838,#6956); +#4945=LINE('',#30839,#6957); +#4946=LINE('',#30843,#6958); +#4947=LINE('',#30845,#6959); +#4948=LINE('',#30847,#6960); +#4949=LINE('',#30848,#6961); +#4950=LINE('',#30851,#6962); +#4951=LINE('',#30853,#6963); +#4952=LINE('',#30854,#6964); +#4953=LINE('',#30868,#6965); +#4954=LINE('',#30870,#6966); +#4955=LINE('',#30872,#6967); +#4956=LINE('',#30873,#6968); +#4957=LINE('',#30876,#6969); +#4958=LINE('',#30878,#6970); +#4959=LINE('',#30879,#6971); +#4960=LINE('',#30883,#6972); +#4961=LINE('',#30885,#6973); +#4962=LINE('',#30887,#6974); +#4963=LINE('',#30888,#6975); +#4964=LINE('',#30891,#6976); +#4965=LINE('',#30893,#6977); +#4966=LINE('',#30894,#6978); +#4967=LINE('',#30897,#6979); +#4968=LINE('',#30899,#6980); +#4969=LINE('',#30900,#6981); +#4970=LINE('',#30904,#6982); +#4971=LINE('',#30906,#6983); +#4972=LINE('',#30908,#6984); +#4973=LINE('',#30909,#6985); +#4974=LINE('',#30912,#6986); +#4975=LINE('',#30914,#6987); +#4976=LINE('',#30915,#6988); +#4977=LINE('',#30937,#6989); +#4978=LINE('',#30939,#6990); +#4979=LINE('',#30941,#6991); +#4980=LINE('',#30942,#6992); +#4981=LINE('',#30945,#6993); +#4982=LINE('',#30947,#6994); +#4983=LINE('',#30948,#6995); +#4984=LINE('',#30952,#6996); +#4985=LINE('',#30954,#6997); +#4986=LINE('',#30956,#6998); +#4987=LINE('',#30957,#6999); +#4988=LINE('',#30960,#7000); +#4989=LINE('',#30962,#7001); +#4990=LINE('',#30963,#7002); +#4991=LINE('',#30966,#7003); +#4992=LINE('',#30968,#7004); +#4993=LINE('',#30969,#7005); +#4994=LINE('',#30973,#7006); +#4995=LINE('',#30975,#7007); +#4996=LINE('',#30977,#7008); +#4997=LINE('',#30978,#7009); +#4998=LINE('',#30981,#7010); +#4999=LINE('',#30983,#7011); +#5000=LINE('',#30984,#7012); +#5001=LINE('',#31002,#7013); +#5002=LINE('',#31004,#7014); +#5003=LINE('',#31006,#7015); +#5004=LINE('',#31007,#7016); +#5005=LINE('',#31010,#7017); +#5006=LINE('',#31012,#7018); +#5007=LINE('',#31013,#7019); +#5008=LINE('',#31017,#7020); +#5009=LINE('',#31019,#7021); +#5010=LINE('',#31021,#7022); +#5011=LINE('',#31022,#7023); +#5012=LINE('',#31025,#7024); +#5013=LINE('',#31027,#7025); +#5014=LINE('',#31028,#7026); +#5015=LINE('',#31031,#7027); +#5016=LINE('',#31033,#7028); +#5017=LINE('',#31034,#7029); +#5018=LINE('',#31038,#7030); +#5019=LINE('',#31040,#7031); +#5020=LINE('',#31042,#7032); +#5021=LINE('',#31043,#7033); +#5022=LINE('',#31046,#7034); +#5023=LINE('',#31048,#7035); +#5024=LINE('',#31049,#7036); +#5025=LINE('',#31063,#7037); +#5026=LINE('',#31065,#7038); +#5027=LINE('',#31067,#7039); +#5028=LINE('',#31068,#7040); +#5029=LINE('',#31071,#7041); +#5030=LINE('',#31073,#7042); +#5031=LINE('',#31074,#7043); +#5032=LINE('',#31078,#7044); +#5033=LINE('',#31080,#7045); +#5034=LINE('',#31082,#7046); +#5035=LINE('',#31083,#7047); +#5036=LINE('',#31086,#7048); +#5037=LINE('',#31088,#7049); +#5038=LINE('',#31089,#7050); +#5039=LINE('',#31092,#7051); +#5040=LINE('',#31094,#7052); +#5041=LINE('',#31095,#7053); +#5042=LINE('',#31099,#7054); +#5043=LINE('',#31101,#7055); +#5044=LINE('',#31103,#7056); +#5045=LINE('',#31104,#7057); +#5046=LINE('',#31107,#7058); +#5047=LINE('',#31109,#7059); +#5048=LINE('',#31110,#7060); +#5049=LINE('',#31132,#7061); +#5050=LINE('',#31134,#7062); +#5051=LINE('',#31138,#7063); +#5052=LINE('',#31140,#7064); +#5053=LINE('',#31148,#7065); +#5054=LINE('',#31149,#7066); +#5055=LINE('',#31152,#7067); +#5056=LINE('',#31154,#7068); +#5057=LINE('',#31156,#7069); +#5058=LINE('',#31158,#7070); +#5059=LINE('',#31160,#7071); +#5060=LINE('',#31161,#7072); +#5061=LINE('',#31164,#7073); +#5062=LINE('',#31165,#7074); +#5063=LINE('',#31168,#7075); +#5064=LINE('',#31172,#7076); +#5065=LINE('',#31173,#7077); +#5066=LINE('',#31177,#7078); +#5067=LINE('',#31179,#7079); +#5068=LINE('',#31181,#7080); +#5069=LINE('',#31182,#7081); +#5070=LINE('',#31183,#7082); +#5071=LINE('',#31189,#7083); +#5072=LINE('',#31192,#7084); +#5073=LINE('',#31196,#7085); +#5074=LINE('',#31200,#7086); +#5075=LINE('',#31201,#7087); +#5076=LINE('',#31202,#7088); +#5077=LINE('',#31208,#7089); +#5078=LINE('',#31210,#7090); +#5079=LINE('',#31214,#7091); +#5080=LINE('',#31216,#7092); +#5081=LINE('',#31224,#7093); +#5082=LINE('',#31225,#7094); +#5083=LINE('',#31231,#7095); +#5084=LINE('',#31234,#7096); +#5085=LINE('',#31238,#7097); +#5086=LINE('',#31242,#7098); +#5087=LINE('',#31243,#7099); +#5088=LINE('',#31244,#7100); +#5089=LINE('',#31252,#7101); +#5090=LINE('',#31255,#7102); +#5091=LINE('',#31259,#7103); +#5092=LINE('',#31263,#7104); +#5093=LINE('',#31264,#7105); +#5094=LINE('',#31265,#7106); +#5095=LINE('',#31273,#7107); +#5096=LINE('',#31276,#7108); +#5097=LINE('',#31280,#7109); +#5098=LINE('',#31284,#7110); +#5099=LINE('',#31285,#7111); +#5100=LINE('',#31286,#7112); +#5101=LINE('',#31294,#7113); +#5102=LINE('',#31297,#7114); +#5103=LINE('',#31301,#7115); +#5104=LINE('',#31305,#7116); +#5105=LINE('',#31306,#7117); +#5106=LINE('',#31307,#7118); +#5107=LINE('',#31313,#7119); +#5108=LINE('',#31315,#7120); +#5109=LINE('',#31319,#7121); +#5110=LINE('',#31321,#7122); +#5111=LINE('',#31329,#7123); +#5112=LINE('',#31330,#7124); +#5113=LINE('',#31336,#7125); +#5114=LINE('',#31339,#7126); +#5115=LINE('',#31343,#7127); +#5116=LINE('',#31347,#7128); +#5117=LINE('',#31348,#7129); +#5118=LINE('',#31349,#7130); +#5119=LINE('',#31355,#7131); +#5120=LINE('',#31357,#7132); +#5121=LINE('',#31361,#7133); +#5122=LINE('',#31363,#7134); +#5123=LINE('',#31371,#7135); +#5124=LINE('',#31372,#7136); +#5125=LINE('',#31378,#7137); +#5126=LINE('',#31381,#7138); +#5127=LINE('',#31385,#7139); +#5128=LINE('',#31389,#7140); +#5129=LINE('',#31390,#7141); +#5130=LINE('',#31391,#7142); +#5131=LINE('',#31397,#7143); +#5132=LINE('',#31399,#7144); +#5133=LINE('',#31403,#7145); +#5134=LINE('',#31405,#7146); +#5135=LINE('',#31413,#7147); +#5136=LINE('',#31414,#7148); +#5137=LINE('',#31420,#7149); +#5138=LINE('',#31423,#7150); +#5139=LINE('',#31427,#7151); +#5140=LINE('',#31431,#7152); +#5141=LINE('',#31432,#7153); +#5142=LINE('',#31433,#7154); +#5143=LINE('',#31439,#7155); +#5144=LINE('',#31441,#7156); +#5145=LINE('',#31445,#7157); +#5146=LINE('',#31447,#7158); +#5147=LINE('',#31455,#7159); +#5148=LINE('',#31456,#7160); +#5149=LINE('',#31460,#7161); +#5150=LINE('',#31462,#7162); +#5151=LINE('',#31466,#7163); +#5152=LINE('',#31468,#7164); +#5153=LINE('',#31476,#7165); +#5154=LINE('',#31477,#7166); +#5155=LINE('',#31483,#7167); +#5156=LINE('',#31486,#7168); +#5157=LINE('',#31490,#7169); +#5158=LINE('',#31494,#7170); +#5159=LINE('',#31495,#7171); +#5160=LINE('',#31496,#7172); +#5161=LINE('',#31501,#7173); +#5162=LINE('',#31503,#7174); +#5163=LINE('',#31505,#7175); +#5164=LINE('',#31507,#7176); +#5165=LINE('',#31509,#7177); +#5166=LINE('',#31510,#7178); +#5167=LINE('',#31513,#7179); +#5168=LINE('',#31515,#7180); +#5169=LINE('',#31517,#7181); +#5170=LINE('',#31519,#7182); +#5171=LINE('',#31521,#7183); +#5172=LINE('',#31522,#7184); +#5173=LINE('',#31525,#7185); +#5174=LINE('',#31526,#7186); +#5175=LINE('',#31529,#7187); +#5176=LINE('',#31533,#7188); +#5177=LINE('',#31534,#7189); +#5178=LINE('',#31537,#7190); +#5179=LINE('',#31539,#7191); +#5180=LINE('',#31541,#7192); +#5181=LINE('',#31543,#7193); +#5182=LINE('',#31545,#7194); +#5183=LINE('',#31546,#7195); +#5184=LINE('',#31549,#7196); +#5185=LINE('',#31551,#7197); +#5186=LINE('',#31553,#7198); +#5187=LINE('',#31555,#7199); +#5188=LINE('',#31557,#7200); +#5189=LINE('',#31558,#7201); +#5190=LINE('',#31561,#7202); +#5191=LINE('',#31563,#7203); +#5192=LINE('',#31565,#7204); +#5193=LINE('',#31567,#7205); +#5194=LINE('',#31569,#7206); +#5195=LINE('',#31570,#7207); +#5196=LINE('',#31573,#7208); +#5197=LINE('',#31575,#7209); +#5198=LINE('',#31577,#7210); +#5199=LINE('',#31579,#7211); +#5200=LINE('',#31581,#7212); +#5201=LINE('',#31582,#7213); +#5202=LINE('',#31585,#7214); +#5203=LINE('',#31587,#7215); +#5204=LINE('',#31589,#7216); +#5205=LINE('',#31591,#7217); +#5206=LINE('',#31593,#7218); +#5207=LINE('',#31594,#7219); +#5208=LINE('',#31597,#7220); +#5209=LINE('',#31598,#7221); +#5210=LINE('',#31601,#7222); +#5211=LINE('',#31605,#7223); +#5212=LINE('',#31606,#7224); +#5213=LINE('',#31609,#7225); +#5214=LINE('',#31611,#7226); +#5215=LINE('',#31613,#7227); +#5216=LINE('',#31615,#7228); +#5217=LINE('',#31617,#7229); +#5218=LINE('',#31618,#7230); +#5219=LINE('',#31621,#7231); +#5220=LINE('',#31623,#7232); +#5221=LINE('',#31625,#7233); +#5222=LINE('',#31627,#7234); +#5223=LINE('',#31629,#7235); +#5224=LINE('',#31630,#7236); +#5225=LINE('',#31633,#7237); +#5226=LINE('',#31634,#7238); +#5227=LINE('',#31637,#7239); +#5228=LINE('',#31641,#7240); +#5229=LINE('',#31642,#7241); +#5230=LINE('',#31645,#7242); +#5231=LINE('',#31647,#7243); +#5232=LINE('',#31649,#7244); +#5233=LINE('',#31651,#7245); +#5234=LINE('',#31653,#7246); +#5235=LINE('',#31654,#7247); +#5236=LINE('',#31657,#7248); +#5237=LINE('',#31659,#7249); +#5238=LINE('',#31661,#7250); +#5239=LINE('',#31663,#7251); +#5240=LINE('',#31665,#7252); +#5241=LINE('',#31666,#7253); +#5242=LINE('',#31669,#7254); +#5243=LINE('',#31670,#7255); +#5244=LINE('',#31673,#7256); +#5245=LINE('',#31677,#7257); +#5246=LINE('',#31678,#7258); +#5247=LINE('',#31681,#7259); +#5248=LINE('',#31683,#7260); +#5249=LINE('',#31685,#7261); +#5250=LINE('',#31687,#7262); +#5251=LINE('',#31689,#7263); +#5252=LINE('',#31690,#7264); +#5253=LINE('',#31693,#7265); +#5254=LINE('',#31695,#7266); +#5255=LINE('',#31697,#7267); +#5256=LINE('',#31699,#7268); +#5257=LINE('',#31701,#7269); +#5258=LINE('',#31702,#7270); +#5259=LINE('',#31705,#7271); +#5260=LINE('',#31706,#7272); +#5261=LINE('',#31709,#7273); +#5262=LINE('',#31713,#7274); +#5263=LINE('',#31714,#7275); +#5264=LINE('',#31717,#7276); +#5265=LINE('',#31719,#7277); +#5266=LINE('',#31721,#7278); +#5267=LINE('',#31723,#7279); +#5268=LINE('',#31725,#7280); +#5269=LINE('',#31726,#7281); +#5270=LINE('',#31729,#7282); +#5271=LINE('',#31730,#7283); +#5272=LINE('',#31733,#7284); +#5273=LINE('',#31737,#7285); +#5274=LINE('',#31738,#7286); +#5275=LINE('',#31741,#7287); +#5276=LINE('',#31742,#7288); +#5277=LINE('',#31744,#7289); +#5278=LINE('',#31745,#7290); +#5279=LINE('',#31747,#7291); +#5280=LINE('',#31748,#7292); +#5281=LINE('',#31750,#7293); +#5282=LINE('',#31751,#7294); +#5283=LINE('',#31753,#7295); +#5284=LINE('',#31754,#7296); +#5285=LINE('',#31756,#7297); +#5286=LINE('',#31757,#7298); +#5287=LINE('',#31759,#7299); +#5288=LINE('',#31760,#7300); +#5289=LINE('',#31762,#7301); +#5290=LINE('',#31764,#7302); +#5291=LINE('',#31766,#7303); +#5292=LINE('',#31767,#7304); +#5293=LINE('',#31769,#7305); +#5294=LINE('',#31770,#7306); +#5295=LINE('',#31772,#7307); +#5296=LINE('',#31773,#7308); +#5297=LINE('',#31775,#7309); +#5298=LINE('',#31776,#7310); +#5299=LINE('',#31778,#7311); +#5300=LINE('',#31779,#7312); +#5301=LINE('',#31781,#7313); +#5302=LINE('',#31782,#7314); +#5303=LINE('',#31784,#7315); +#5304=LINE('',#31785,#7316); +#5305=LINE('',#31787,#7317); +#5306=LINE('',#31788,#7318); +#5307=LINE('',#31790,#7319); +#5308=LINE('',#31792,#7320); +#5309=LINE('',#31794,#7321); +#5310=LINE('',#31795,#7322); +#5311=LINE('',#31798,#7323); +#5312=LINE('',#31800,#7324); +#5313=LINE('',#31802,#7325); +#5314=LINE('',#31804,#7326); +#5315=LINE('',#31805,#7327); +#5316=LINE('',#31809,#7328); +#5317=LINE('',#31812,#7329); +#5318=LINE('',#31815,#7330); +#5319=LINE('',#31818,#7331); +#5320=LINE('',#31821,#7332); +#5321=LINE('',#31824,#7333); +#5322=LINE('',#31827,#7334); +#5323=LINE('',#31830,#7335); +#5324=LINE('',#31833,#7336); +#5325=LINE('',#31837,#7337); +#5326=LINE('',#31840,#7338); +#5327=LINE('',#31843,#7339); +#5328=LINE('',#31847,#7340); +#5329=LINE('',#31851,#7341); +#5330=LINE('',#31855,#7342); +#5331=LINE('',#31858,#7343); +#5332=LINE('',#31861,#7344); +#5333=LINE('',#31865,#7345); +#5334=LINE('',#31869,#7346); +#5335=LINE('',#31873,#7347); +#5336=LINE('',#31877,#7348); +#5337=LINE('',#31881,#7349); +#5338=LINE('',#31885,#7350); +#5339=LINE('',#31889,#7351); +#5340=LINE('',#31892,#7352); +#5341=LINE('',#31895,#7353); +#5342=LINE('',#31899,#7354); +#5343=LINE('',#31903,#7355); +#5344=LINE('',#31906,#7356); +#5345=LINE('',#31909,#7357); +#5346=LINE('',#31913,#7358); +#5347=LINE('',#31917,#7359); +#5348=LINE('',#31920,#7360); +#5349=LINE('',#31923,#7361); +#5350=LINE('',#31926,#7362); +#5351=LINE('',#31927,#7363); +#5352=LINE('',#31929,#7364); +#5353=LINE('',#31931,#7365); +#5354=LINE('',#31934,#7366); +#5355=LINE('',#31935,#7367); +#5356=LINE('',#31938,#7368); +#5357=LINE('',#31939,#7369); +#5358=LINE('',#31941,#7370); +#5359=LINE('',#31944,#7371); +#5360=LINE('',#31945,#7372); +#5361=LINE('',#31947,#7373); +#5362=LINE('',#31950,#7374); +#5363=LINE('',#31951,#7375); +#5364=LINE('',#31953,#7376); +#5365=LINE('',#31955,#7377); +#5366=LINE('',#31958,#7378); +#5367=LINE('',#31959,#7379); +#5368=LINE('',#31962,#7380); +#5369=LINE('',#31963,#7381); +#5370=LINE('',#31965,#7382); +#5371=LINE('',#31968,#7383); +#5372=LINE('',#31969,#7384); +#5373=LINE('',#31971,#7385); +#5374=LINE('',#31973,#7386); +#5375=LINE('',#31976,#7387); +#5376=LINE('',#31977,#7388); +#5377=LINE('',#31980,#7389); +#5378=LINE('',#31981,#7390); +#5379=LINE('',#31984,#7391); +#5380=LINE('',#31985,#7392); +#5381=LINE('',#31988,#7393); +#5382=LINE('',#31989,#7394); +#5383=LINE('',#31992,#7395); +#5384=LINE('',#31993,#7396); +#5385=LINE('',#31996,#7397); +#5386=LINE('',#31997,#7398); +#5387=LINE('',#32000,#7399); +#5388=LINE('',#32001,#7400); +#5389=LINE('',#32004,#7401); +#5390=LINE('',#32005,#7402); +#5391=LINE('',#32008,#7403); +#5392=LINE('',#32009,#7404); +#5393=LINE('',#32012,#7405); +#5394=LINE('',#32014,#7406); +#5395=LINE('',#32015,#7407); +#5396=LINE('',#32018,#7408); +#5397=LINE('',#32019,#7409); +#5398=LINE('',#32022,#7410); +#5399=LINE('',#32023,#7411); +#5400=LINE('',#32026,#7412); +#5401=LINE('',#32027,#7413); +#5402=LINE('',#32030,#7414); +#5403=LINE('',#32031,#7415); +#5404=LINE('',#32034,#7416); +#5405=LINE('',#32035,#7417); +#5406=LINE('',#32038,#7418); +#5407=LINE('',#32039,#7419); +#5408=LINE('',#32042,#7420); +#5409=LINE('',#32043,#7421); +#5410=LINE('',#32046,#7422); +#5411=LINE('',#32048,#7423); +#5412=LINE('',#32049,#7424); +#5413=LINE('',#32056,#7425); +#5414=LINE('',#32058,#7426); +#5415=LINE('',#32059,#7427); +#5416=LINE('',#32066,#7428); +#5417=LINE('',#32068,#7429); +#5418=LINE('',#32069,#7430); +#5419=LINE('',#32076,#7431); +#5420=LINE('',#32078,#7432); +#5421=LINE('',#32079,#7433); +#5422=LINE('',#32089,#7434); +#5423=LINE('',#32091,#7435); +#5424=LINE('',#32092,#7436); +#5425=LINE('',#32096,#7437); +#5426=LINE('',#32098,#7438); +#5427=LINE('',#32099,#7439); +#5428=LINE('',#32106,#7440); +#5429=LINE('',#32108,#7441); +#5430=LINE('',#32109,#7442); +#5431=LINE('',#32116,#7443); +#5432=LINE('',#32118,#7444); +#5433=LINE('',#32119,#7445); +#5434=LINE('',#32126,#7446); +#5435=LINE('',#32128,#7447); +#5436=LINE('',#32129,#7448); +#5437=LINE('',#32140,#7449); +#5438=LINE('',#32142,#7450); +#5439=LINE('',#32143,#7451); +#5440=LINE('',#32150,#7452); +#5441=LINE('',#32152,#7453); +#5442=LINE('',#32153,#7454); +#5443=LINE('',#32160,#7455); +#5444=LINE('',#32162,#7456); +#5445=LINE('',#32164,#7457); +#5446=LINE('',#32165,#7458); +#5447=LINE('',#32175,#7459); +#5448=LINE('',#32177,#7460); +#5449=LINE('',#32178,#7461); +#5450=LINE('',#32182,#7462); +#5451=LINE('',#32184,#7463); +#5452=LINE('',#32185,#7464); +#5453=LINE('',#32192,#7465); +#5454=LINE('',#32194,#7466); +#5455=LINE('',#32196,#7467); +#5456=LINE('',#32197,#7468); +#5457=LINE('',#32203,#7469); +#5458=LINE('',#32204,#7470); +#5459=LINE('',#32205,#7471); +#5460=LINE('',#32206,#7472); +#5461=VECTOR('',#21084,12.); +#5462=VECTOR('',#21085,8.4375); +#5463=VECTOR('',#21086,12.); +#5464=VECTOR('',#21087,8.4375); +#5465=VECTOR('',#21088,8.4375); +#5466=VECTOR('',#21089,12.); +#5467=VECTOR('',#21090,8.4375); +#5468=VECTOR('',#21091,12.); +#5469=VECTOR('',#21092,8.4375); +#5470=VECTOR('',#21093,12.); +#5471=VECTOR('',#21094,8.4375); +#5472=VECTOR('',#21095,12.); +#5473=VECTOR('',#21096,8.4375); +#5474=VECTOR('',#21097,12.); +#5475=VECTOR('',#21098,8.4375); +#5476=VECTOR('',#21099,12.); +#5477=VECTOR('',#21100,8.4375); +#5478=VECTOR('',#21101,12.); +#5479=VECTOR('',#21102,8.4375); +#5480=VECTOR('',#21103,12.); +#5481=VECTOR('',#21104,8.4375); +#5482=VECTOR('',#21105,12.); +#5483=VECTOR('',#21106,8.4375); +#5484=VECTOR('',#21107,12.); +#5485=VECTOR('',#21108,8.4375); +#5486=VECTOR('',#21109,12.); +#5487=VECTOR('',#21110,8.4375); +#5488=VECTOR('',#21111,12.); +#5489=VECTOR('',#21112,8.4375); +#5490=VECTOR('',#21113,12.); +#5491=VECTOR('',#21114,8.4375); +#5492=VECTOR('',#21115,12.); +#5493=VECTOR('',#21118,12.); +#5494=VECTOR('',#21119,8.4375); +#5495=VECTOR('',#21120,12.); +#5496=VECTOR('',#21121,8.4375); +#5497=VECTOR('',#21122,12.); +#5498=VECTOR('',#21123,8.4375); +#5499=VECTOR('',#21124,12.); +#5500=VECTOR('',#21125,8.4375); +#5501=VECTOR('',#21126,12.); +#5502=VECTOR('',#21127,8.4375); +#5503=VECTOR('',#21128,12.); +#5504=VECTOR('',#21129,8.4375); +#5505=VECTOR('',#21130,12.); +#5506=VECTOR('',#21131,8.4375); +#5507=VECTOR('',#21132,12.); +#5508=VECTOR('',#21133,8.4375); +#5509=VECTOR('',#21134,12.); +#5510=VECTOR('',#21135,8.4375); +#5511=VECTOR('',#21136,12.); +#5512=VECTOR('',#21137,8.4375); +#5513=VECTOR('',#21138,12.); +#5514=VECTOR('',#21139,8.4375); +#5515=VECTOR('',#21140,12.); +#5516=VECTOR('',#21141,8.4375); +#5517=VECTOR('',#21142,12.); +#5518=VECTOR('',#21143,8.4375); +#5519=VECTOR('',#21144,12.); +#5520=VECTOR('',#21145,8.4375); +#5521=VECTOR('',#21146,12.); +#5522=VECTOR('',#21147,8.4375); +#5523=VECTOR('',#21148,12.); +#5524=VECTOR('',#21151,12.); +#5525=VECTOR('',#21152,8.4375); +#5526=VECTOR('',#21153,12.); +#5527=VECTOR('',#21154,8.4375); +#5528=VECTOR('',#21155,12.); +#5529=VECTOR('',#21156,8.4375); +#5530=VECTOR('',#21157,12.); +#5531=VECTOR('',#21158,8.4375); +#5532=VECTOR('',#21159,12.); +#5533=VECTOR('',#21160,8.4375); +#5534=VECTOR('',#21161,12.); +#5535=VECTOR('',#21162,8.4375); +#5536=VECTOR('',#21163,12.); +#5537=VECTOR('',#21164,8.4375); +#5538=VECTOR('',#21165,12.); +#5539=VECTOR('',#21166,8.4375); +#5540=VECTOR('',#21167,12.); +#5541=VECTOR('',#21168,8.4375); +#5542=VECTOR('',#21169,12.); +#5543=VECTOR('',#21170,8.4375); +#5544=VECTOR('',#21171,12.); +#5545=VECTOR('',#21172,8.4375); +#5546=VECTOR('',#21173,12.); +#5547=VECTOR('',#21174,8.4375); +#5548=VECTOR('',#21175,12.); +#5549=VECTOR('',#21176,8.4375); +#5550=VECTOR('',#21177,12.); +#5551=VECTOR('',#21178,8.4375); +#5552=VECTOR('',#21179,12.); +#5553=VECTOR('',#21180,8.4375); +#5554=VECTOR('',#21181,12.); +#5555=VECTOR('',#21184,12.); +#5556=VECTOR('',#21185,12.); +#5557=VECTOR('',#21186,8.4375); +#5558=VECTOR('',#21187,12.); +#5559=VECTOR('',#21188,8.4375); +#5560=VECTOR('',#21189,12.); +#5561=VECTOR('',#21190,8.4375); +#5562=VECTOR('',#21191,12.); +#5563=VECTOR('',#21192,8.4375); +#5564=VECTOR('',#21193,12.); +#5565=VECTOR('',#21194,8.4375); +#5566=VECTOR('',#21195,12.); +#5567=VECTOR('',#21196,8.4375); +#5568=VECTOR('',#21197,12.); +#5569=VECTOR('',#21198,8.4375); +#5570=VECTOR('',#21199,12.); +#5571=VECTOR('',#21200,8.4375); +#5572=VECTOR('',#21201,12.); +#5573=VECTOR('',#21202,8.4375); +#5574=VECTOR('',#21203,12.); +#5575=VECTOR('',#21204,8.4375); +#5576=VECTOR('',#21205,12.); +#5577=VECTOR('',#21206,8.4375); +#5578=VECTOR('',#21207,12.); +#5579=VECTOR('',#21208,8.4375); +#5580=VECTOR('',#21209,12.); +#5581=VECTOR('',#21210,8.4375); +#5582=VECTOR('',#21211,12.); +#5583=VECTOR('',#21212,8.4375); +#5584=VECTOR('',#21213,12.); +#5585=VECTOR('',#21226,12.); +#5586=VECTOR('',#21227,8.4375); +#5587=VECTOR('',#21228,12.); +#5588=VECTOR('',#21231,12.); +#5589=VECTOR('',#21232,12.); +#5590=VECTOR('',#21235,8.4375); +#5591=VECTOR('',#21236,12.); +#5592=VECTOR('',#21239,12.); +#5593=VECTOR('',#21244,12.); +#5594=VECTOR('',#21245,8.4375); +#5595=VECTOR('',#21246,12.); +#5596=VECTOR('',#21249,12.); +#5597=VECTOR('',#21250,12.); +#5598=VECTOR('',#21253,8.4375); +#5599=VECTOR('',#21254,12.); +#5600=VECTOR('',#21257,12.); +#5601=VECTOR('',#21262,12.); +#5602=VECTOR('',#21263,8.4375); +#5603=VECTOR('',#21264,12.); +#5604=VECTOR('',#21267,12.); +#5605=VECTOR('',#21268,12.); +#5606=VECTOR('',#21271,8.4375); +#5607=VECTOR('',#21272,12.); +#5608=VECTOR('',#21275,12.); +#5609=VECTOR('',#21280,12.); +#5610=VECTOR('',#21281,8.4375); +#5611=VECTOR('',#21282,12.); +#5612=VECTOR('',#21285,12.); +#5613=VECTOR('',#21286,12.); +#5614=VECTOR('',#21289,8.4375); +#5615=VECTOR('',#21290,12.); +#5616=VECTOR('',#21293,12.); +#5617=VECTOR('',#21298,12.); +#5618=VECTOR('',#21299,8.4375); +#5619=VECTOR('',#21300,12.); +#5620=VECTOR('',#21303,12.); +#5621=VECTOR('',#21304,12.); +#5622=VECTOR('',#21307,8.4375); +#5623=VECTOR('',#21308,12.); +#5624=VECTOR('',#21311,12.); +#5625=VECTOR('',#21316,12.); +#5626=VECTOR('',#21317,8.4375); +#5627=VECTOR('',#21318,12.); +#5628=VECTOR('',#21321,12.); +#5629=VECTOR('',#21322,12.); +#5630=VECTOR('',#21325,8.4375); +#5631=VECTOR('',#21326,12.); +#5632=VECTOR('',#21329,12.); +#5633=VECTOR('',#21334,12.); +#5634=VECTOR('',#21335,8.4375); +#5635=VECTOR('',#21336,12.); +#5636=VECTOR('',#21339,12.); +#5637=VECTOR('',#21340,12.); +#5638=VECTOR('',#21343,8.4375); +#5639=VECTOR('',#21344,12.); +#5640=VECTOR('',#21347,12.); +#5641=VECTOR('',#21352,12.); +#5642=VECTOR('',#21353,8.4375); +#5643=VECTOR('',#21354,12.); +#5644=VECTOR('',#21357,12.); +#5645=VECTOR('',#21358,12.); +#5646=VECTOR('',#21361,8.4375); +#5647=VECTOR('',#21362,12.); +#5648=VECTOR('',#21365,12.); +#5649=VECTOR('',#21370,12.); +#5650=VECTOR('',#21371,8.4375); +#5651=VECTOR('',#21372,12.); +#5652=VECTOR('',#21375,12.); +#5653=VECTOR('',#21376,12.); +#5654=VECTOR('',#21379,8.4375); +#5655=VECTOR('',#21380,12.); +#5656=VECTOR('',#21383,12.); +#5657=VECTOR('',#21388,12.); +#5658=VECTOR('',#21389,8.4375); +#5659=VECTOR('',#21390,12.); +#5660=VECTOR('',#21393,12.); +#5661=VECTOR('',#21394,12.); +#5662=VECTOR('',#21397,8.4375); +#5663=VECTOR('',#21398,12.); +#5664=VECTOR('',#21401,12.); +#5665=VECTOR('',#21406,12.); +#5666=VECTOR('',#21407,8.4375); +#5667=VECTOR('',#21408,12.); +#5668=VECTOR('',#21411,12.); +#5669=VECTOR('',#21412,12.); +#5670=VECTOR('',#21415,8.4375); +#5671=VECTOR('',#21416,12.); +#5672=VECTOR('',#21419,12.); +#5673=VECTOR('',#21424,12.); +#5674=VECTOR('',#21425,8.4375); +#5675=VECTOR('',#21426,12.); +#5676=VECTOR('',#21429,12.); +#5677=VECTOR('',#21430,12.); +#5678=VECTOR('',#21433,8.4375); +#5679=VECTOR('',#21434,12.); +#5680=VECTOR('',#21437,12.); +#5681=VECTOR('',#21442,12.); +#5682=VECTOR('',#21443,8.4375); +#5683=VECTOR('',#21444,12.); +#5684=VECTOR('',#21447,12.); +#5685=VECTOR('',#21448,12.); +#5686=VECTOR('',#21451,8.4375); +#5687=VECTOR('',#21452,12.); +#5688=VECTOR('',#21455,12.); +#5689=VECTOR('',#21460,12.); +#5690=VECTOR('',#21461,8.4375); +#5691=VECTOR('',#21462,12.); +#5692=VECTOR('',#21465,12.); +#5693=VECTOR('',#21466,12.); +#5694=VECTOR('',#21469,8.4375); +#5695=VECTOR('',#21470,12.); +#5696=VECTOR('',#21473,12.); +#5697=VECTOR('',#21478,12.); +#5698=VECTOR('',#21479,8.4375); +#5699=VECTOR('',#21480,12.); +#5700=VECTOR('',#21483,12.); +#5701=VECTOR('',#21484,12.); +#5702=VECTOR('',#21487,8.4375); +#5703=VECTOR('',#21488,12.); +#5704=VECTOR('',#21491,12.); +#5705=VECTOR('',#21496,12.); +#5706=VECTOR('',#21497,8.4375); +#5707=VECTOR('',#21498,12.); +#5708=VECTOR('',#21501,12.); +#5709=VECTOR('',#21502,12.); +#5710=VECTOR('',#21505,8.4375); +#5711=VECTOR('',#21506,12.); +#5712=VECTOR('',#21509,12.); +#5713=VECTOR('',#21514,12.); +#5714=VECTOR('',#21515,8.4375); +#5715=VECTOR('',#21516,12.); +#5716=VECTOR('',#21519,12.); +#5717=VECTOR('',#21520,12.); +#5718=VECTOR('',#21523,8.4375); +#5719=VECTOR('',#21524,12.); +#5720=VECTOR('',#21527,12.); +#5721=VECTOR('',#21532,12.); +#5722=VECTOR('',#21533,8.4375); +#5723=VECTOR('',#21534,12.); +#5724=VECTOR('',#21537,12.); +#5725=VECTOR('',#21538,12.); +#5726=VECTOR('',#21541,8.4375); +#5727=VECTOR('',#21542,12.); +#5728=VECTOR('',#21545,12.); +#5729=VECTOR('',#21550,12.); +#5730=VECTOR('',#21551,8.4375); +#5731=VECTOR('',#21552,12.); +#5732=VECTOR('',#21555,12.); +#5733=VECTOR('',#21556,12.); +#5734=VECTOR('',#21559,8.4375); +#5735=VECTOR('',#21560,12.); +#5736=VECTOR('',#21563,12.); +#5737=VECTOR('',#21568,12.); +#5738=VECTOR('',#21569,8.4375); +#5739=VECTOR('',#21570,12.); +#5740=VECTOR('',#21573,12.); +#5741=VECTOR('',#21574,12.); +#5742=VECTOR('',#21577,8.4375); +#5743=VECTOR('',#21578,12.); +#5744=VECTOR('',#21581,12.); +#5745=VECTOR('',#21586,12.); +#5746=VECTOR('',#21587,8.4375); +#5747=VECTOR('',#21588,12.); +#5748=VECTOR('',#21591,12.); +#5749=VECTOR('',#21592,12.); +#5750=VECTOR('',#21595,8.4375); +#5751=VECTOR('',#21596,12.); +#5752=VECTOR('',#21599,12.); +#5753=VECTOR('',#21604,12.); +#5754=VECTOR('',#21605,8.4375); +#5755=VECTOR('',#21606,12.); +#5756=VECTOR('',#21609,12.); +#5757=VECTOR('',#21610,12.); +#5758=VECTOR('',#21613,8.4375); +#5759=VECTOR('',#21614,12.); +#5760=VECTOR('',#21617,12.); +#5761=VECTOR('',#21622,12.); +#5762=VECTOR('',#21623,8.4375); +#5763=VECTOR('',#21624,12.); +#5764=VECTOR('',#21627,12.); +#5765=VECTOR('',#21628,12.); +#5766=VECTOR('',#21631,8.4375); +#5767=VECTOR('',#21632,12.); +#5768=VECTOR('',#21635,12.); +#5769=VECTOR('',#21640,12.); +#5770=VECTOR('',#21641,8.4375); +#5771=VECTOR('',#21642,12.); +#5772=VECTOR('',#21645,12.); +#5773=VECTOR('',#21646,12.); +#5774=VECTOR('',#21649,8.4375); +#5775=VECTOR('',#21650,12.); +#5776=VECTOR('',#21653,12.); +#5777=VECTOR('',#21658,12.); +#5778=VECTOR('',#21659,8.4375); +#5779=VECTOR('',#21660,12.); +#5780=VECTOR('',#21663,12.); +#5781=VECTOR('',#21664,12.); +#5782=VECTOR('',#21667,8.4375); +#5783=VECTOR('',#21668,12.); +#5784=VECTOR('',#21671,12.); +#5785=VECTOR('',#21676,12.); +#5786=VECTOR('',#21677,8.4375); +#5787=VECTOR('',#21678,12.); +#5788=VECTOR('',#21681,12.); +#5789=VECTOR('',#21682,12.); +#5790=VECTOR('',#21685,8.4375); +#5791=VECTOR('',#21686,12.); +#5792=VECTOR('',#21689,12.); +#5793=VECTOR('',#21694,12.); +#5794=VECTOR('',#21695,8.4375); +#5795=VECTOR('',#21696,12.); +#5796=VECTOR('',#21699,12.); +#5797=VECTOR('',#21700,12.); +#5798=VECTOR('',#21703,8.4375); +#5799=VECTOR('',#21704,12.); +#5800=VECTOR('',#21707,12.); +#5801=VECTOR('',#21712,12.); +#5802=VECTOR('',#21713,8.4375); +#5803=VECTOR('',#21714,12.); +#5804=VECTOR('',#21717,12.); +#5805=VECTOR('',#21718,12.); +#5806=VECTOR('',#21721,8.4375); +#5807=VECTOR('',#21722,12.); +#5808=VECTOR('',#21725,12.); +#5809=VECTOR('',#21730,12.); +#5810=VECTOR('',#21731,12.); +#5811=VECTOR('',#21732,12.); +#5812=VECTOR('',#21733,12.); +#5813=VECTOR('',#21736,12.); +#5814=VECTOR('',#21737,12.); +#5815=VECTOR('',#21738,12.); +#5816=VECTOR('',#21741,12.); +#5817=VECTOR('',#21742,12.); +#5818=VECTOR('',#21743,12.); +#5819=VECTOR('',#21746,12.); +#5820=VECTOR('',#21747,12.); +#5821=VECTOR('',#21754,12.); +#5822=VECTOR('',#21755,12.); +#5823=VECTOR('',#21756,12.); +#5824=VECTOR('',#21757,12.); +#5825=VECTOR('',#21760,12.); +#5826=VECTOR('',#21761,12.); +#5827=VECTOR('',#21762,12.); +#5828=VECTOR('',#21765,12.); +#5829=VECTOR('',#21766,12.); +#5830=VECTOR('',#21767,12.); +#5831=VECTOR('',#21770,12.); +#5832=VECTOR('',#21771,12.); +#5833=VECTOR('',#21778,12.); +#5834=VECTOR('',#21779,12.); +#5835=VECTOR('',#21780,12.); +#5836=VECTOR('',#21781,12.); +#5837=VECTOR('',#21784,12.); +#5838=VECTOR('',#21785,12.); +#5839=VECTOR('',#21786,12.); +#5840=VECTOR('',#21789,12.); +#5841=VECTOR('',#21790,12.); +#5842=VECTOR('',#21791,12.); +#5843=VECTOR('',#21794,12.); +#5844=VECTOR('',#21795,12.); +#5845=VECTOR('',#21802,12.); +#5846=VECTOR('',#21803,12.); +#5847=VECTOR('',#21804,12.); +#5848=VECTOR('',#21805,12.); +#5849=VECTOR('',#21808,12.); +#5850=VECTOR('',#21809,12.); +#5851=VECTOR('',#21810,12.); +#5852=VECTOR('',#21813,12.); +#5853=VECTOR('',#21814,12.); +#5854=VECTOR('',#21815,12.); +#5855=VECTOR('',#21818,12.); +#5856=VECTOR('',#21819,12.); +#5857=VECTOR('',#21826,12.); +#5858=VECTOR('',#21827,12.); +#5859=VECTOR('',#21828,12.); +#5860=VECTOR('',#21829,12.); +#5861=VECTOR('',#21832,12.); +#5862=VECTOR('',#21833,12.); +#5863=VECTOR('',#21834,12.); +#5864=VECTOR('',#21837,12.); +#5865=VECTOR('',#21838,12.); +#5866=VECTOR('',#21839,12.); +#5867=VECTOR('',#21842,12.); +#5868=VECTOR('',#21843,12.); +#5869=VECTOR('',#21850,12.); +#5870=VECTOR('',#21851,12.); +#5871=VECTOR('',#21852,12.); +#5872=VECTOR('',#21853,12.); +#5873=VECTOR('',#21856,12.); +#5874=VECTOR('',#21857,12.); +#5875=VECTOR('',#21858,12.); +#5876=VECTOR('',#21861,12.); +#5877=VECTOR('',#21862,12.); +#5878=VECTOR('',#21863,12.); +#5879=VECTOR('',#21866,12.); +#5880=VECTOR('',#21867,12.); +#5881=VECTOR('',#21874,12.); +#5882=VECTOR('',#21875,12.); +#5883=VECTOR('',#21876,12.); +#5884=VECTOR('',#21877,12.); +#5885=VECTOR('',#21880,12.); +#5886=VECTOR('',#21881,12.); +#5887=VECTOR('',#21882,12.); +#5888=VECTOR('',#21885,12.); +#5889=VECTOR('',#21886,12.); +#5890=VECTOR('',#21887,12.); +#5891=VECTOR('',#21890,12.); +#5892=VECTOR('',#21891,12.); +#5893=VECTOR('',#21898,12.); +#5894=VECTOR('',#21899,12.); +#5895=VECTOR('',#21900,12.); +#5896=VECTOR('',#21901,12.); +#5897=VECTOR('',#21904,12.); +#5898=VECTOR('',#21905,12.); +#5899=VECTOR('',#21906,12.); +#5900=VECTOR('',#21909,12.); +#5901=VECTOR('',#21910,12.); +#5902=VECTOR('',#21911,12.); +#5903=VECTOR('',#21914,12.); +#5904=VECTOR('',#21915,12.); +#5905=VECTOR('',#21922,12.); +#5906=VECTOR('',#21923,12.); +#5907=VECTOR('',#21924,12.); +#5908=VECTOR('',#21925,12.); +#5909=VECTOR('',#21928,12.); +#5910=VECTOR('',#21929,12.); +#5911=VECTOR('',#21930,12.); +#5912=VECTOR('',#21933,12.); +#5913=VECTOR('',#21934,12.); +#5914=VECTOR('',#21935,12.); +#5915=VECTOR('',#21938,12.); +#5916=VECTOR('',#21939,12.); +#5917=VECTOR('',#21946,12.); +#5918=VECTOR('',#21947,12.); +#5919=VECTOR('',#21948,12.); +#5920=VECTOR('',#21949,12.); +#5921=VECTOR('',#21952,12.); +#5922=VECTOR('',#21953,12.); +#5923=VECTOR('',#21954,12.); +#5924=VECTOR('',#21957,12.); +#5925=VECTOR('',#21958,12.); +#5926=VECTOR('',#21959,12.); +#5927=VECTOR('',#21962,12.); +#5928=VECTOR('',#21963,12.); +#5929=VECTOR('',#21970,12.); +#5930=VECTOR('',#21971,12.); +#5931=VECTOR('',#21972,12.); +#5932=VECTOR('',#21973,12.); +#5933=VECTOR('',#21976,12.); +#5934=VECTOR('',#21977,12.); +#5935=VECTOR('',#21978,12.); +#5936=VECTOR('',#21981,12.); +#5937=VECTOR('',#21982,12.); +#5938=VECTOR('',#21983,12.); +#5939=VECTOR('',#21986,12.); +#5940=VECTOR('',#21987,12.); +#5941=VECTOR('',#21994,12.); +#5942=VECTOR('',#21995,12.); +#5943=VECTOR('',#21996,12.); +#5944=VECTOR('',#21997,12.); +#5945=VECTOR('',#22000,12.); +#5946=VECTOR('',#22001,12.); +#5947=VECTOR('',#22002,12.); +#5948=VECTOR('',#22005,12.); +#5949=VECTOR('',#22006,12.); +#5950=VECTOR('',#22007,12.); +#5951=VECTOR('',#22010,12.); +#5952=VECTOR('',#22011,12.); +#5953=VECTOR('',#22018,12.); +#5954=VECTOR('',#22019,12.); +#5955=VECTOR('',#22020,12.); +#5956=VECTOR('',#22021,12.); +#5957=VECTOR('',#22024,12.); +#5958=VECTOR('',#22025,12.); +#5959=VECTOR('',#22026,12.); +#5960=VECTOR('',#22029,12.); +#5961=VECTOR('',#22030,12.); +#5962=VECTOR('',#22031,12.); +#5963=VECTOR('',#22034,12.); +#5964=VECTOR('',#22035,12.); +#5965=VECTOR('',#22042,12.); +#5966=VECTOR('',#22043,12.); +#5967=VECTOR('',#22044,12.); +#5968=VECTOR('',#22045,12.); +#5969=VECTOR('',#22048,12.); +#5970=VECTOR('',#22049,12.); +#5971=VECTOR('',#22050,12.); +#5972=VECTOR('',#22053,12.); +#5973=VECTOR('',#22054,12.); +#5974=VECTOR('',#22055,12.); +#5975=VECTOR('',#22058,12.); +#5976=VECTOR('',#22059,12.); +#5977=VECTOR('',#22066,12.); +#5978=VECTOR('',#22067,12.); +#5979=VECTOR('',#22068,12.); +#5980=VECTOR('',#22069,12.); +#5981=VECTOR('',#22072,12.); +#5982=VECTOR('',#22073,12.); +#5983=VECTOR('',#22074,12.); +#5984=VECTOR('',#22077,12.); +#5985=VECTOR('',#22078,12.); +#5986=VECTOR('',#22079,12.); +#5987=VECTOR('',#22082,12.); +#5988=VECTOR('',#22083,12.); +#5989=VECTOR('',#22090,12.); +#5990=VECTOR('',#22091,12.); +#5991=VECTOR('',#22092,12.); +#5992=VECTOR('',#22093,12.); +#5993=VECTOR('',#22096,12.); +#5994=VECTOR('',#22097,12.); +#5995=VECTOR('',#22098,12.); +#5996=VECTOR('',#22101,12.); +#5997=VECTOR('',#22102,12.); +#5998=VECTOR('',#22103,12.); +#5999=VECTOR('',#22106,12.); +#6000=VECTOR('',#22107,12.); +#6001=VECTOR('',#22114,12.); +#6002=VECTOR('',#22115,12.); +#6003=VECTOR('',#22116,12.); +#6004=VECTOR('',#22117,12.); +#6005=VECTOR('',#22120,12.); +#6006=VECTOR('',#22121,12.); +#6007=VECTOR('',#22122,12.); +#6008=VECTOR('',#22125,12.); +#6009=VECTOR('',#22126,12.); +#6010=VECTOR('',#22127,12.); +#6011=VECTOR('',#22130,12.); +#6012=VECTOR('',#22131,12.); +#6013=VECTOR('',#22138,12.); +#6014=VECTOR('',#22139,12.); +#6015=VECTOR('',#22140,12.); +#6016=VECTOR('',#22141,12.); +#6017=VECTOR('',#22144,12.); +#6018=VECTOR('',#22145,12.); +#6019=VECTOR('',#22146,12.); +#6020=VECTOR('',#22149,12.); +#6021=VECTOR('',#22150,12.); +#6022=VECTOR('',#22151,12.); +#6023=VECTOR('',#22154,12.); +#6024=VECTOR('',#22155,12.); +#6025=VECTOR('',#22162,12.); +#6026=VECTOR('',#22163,12.); +#6027=VECTOR('',#22164,12.); +#6028=VECTOR('',#22165,12.); +#6029=VECTOR('',#22168,12.); +#6030=VECTOR('',#22169,12.); +#6031=VECTOR('',#22170,12.); +#6032=VECTOR('',#22173,12.); +#6033=VECTOR('',#22174,12.); +#6034=VECTOR('',#22175,12.); +#6035=VECTOR('',#22178,12.); +#6036=VECTOR('',#22179,12.); +#6037=VECTOR('',#22186,12.); +#6038=VECTOR('',#22187,12.); +#6039=VECTOR('',#22188,12.); +#6040=VECTOR('',#22189,12.); +#6041=VECTOR('',#22192,12.); +#6042=VECTOR('',#22193,12.); +#6043=VECTOR('',#22194,12.); +#6044=VECTOR('',#22197,12.); +#6045=VECTOR('',#22198,12.); +#6046=VECTOR('',#22199,12.); +#6047=VECTOR('',#22202,12.); +#6048=VECTOR('',#22203,12.); +#6049=VECTOR('',#22210,12.); +#6050=VECTOR('',#22211,12.); +#6051=VECTOR('',#22212,12.); +#6052=VECTOR('',#22213,12.); +#6053=VECTOR('',#22216,12.); +#6054=VECTOR('',#22217,12.); +#6055=VECTOR('',#22218,12.); +#6056=VECTOR('',#22221,12.); +#6057=VECTOR('',#22222,12.); +#6058=VECTOR('',#22223,12.); +#6059=VECTOR('',#22226,12.); +#6060=VECTOR('',#22227,12.); +#6061=VECTOR('',#22234,12.); +#6062=VECTOR('',#22235,12.); +#6063=VECTOR('',#22236,12.); +#6064=VECTOR('',#22237,12.); +#6065=VECTOR('',#22240,12.); +#6066=VECTOR('',#22241,12.); +#6067=VECTOR('',#22242,12.); +#6068=VECTOR('',#22245,12.); +#6069=VECTOR('',#22246,12.); +#6070=VECTOR('',#22247,12.); +#6071=VECTOR('',#22250,12.); +#6072=VECTOR('',#22251,12.); +#6073=VECTOR('',#22258,12.); +#6074=VECTOR('',#22259,12.); +#6075=VECTOR('',#22260,12.); +#6076=VECTOR('',#22261,12.); +#6077=VECTOR('',#22264,12.); +#6078=VECTOR('',#22265,12.); +#6079=VECTOR('',#22266,12.); +#6080=VECTOR('',#22269,12.); +#6081=VECTOR('',#22270,12.); +#6082=VECTOR('',#22271,12.); +#6083=VECTOR('',#22274,12.); +#6084=VECTOR('',#22275,12.); +#6085=VECTOR('',#22282,12.); +#6086=VECTOR('',#22283,12.); +#6087=VECTOR('',#22284,12.); +#6088=VECTOR('',#22285,12.); +#6089=VECTOR('',#22288,12.); +#6090=VECTOR('',#22289,12.); +#6091=VECTOR('',#22290,12.); +#6092=VECTOR('',#22293,12.); +#6093=VECTOR('',#22294,12.); +#6094=VECTOR('',#22295,12.); +#6095=VECTOR('',#22298,12.); +#6096=VECTOR('',#22299,12.); +#6097=VECTOR('',#22306,12.); +#6098=VECTOR('',#22307,12.); +#6099=VECTOR('',#22308,12.); +#6100=VECTOR('',#22309,12.); +#6101=VECTOR('',#22312,12.); +#6102=VECTOR('',#22313,12.); +#6103=VECTOR('',#22314,12.); +#6104=VECTOR('',#22317,12.); +#6105=VECTOR('',#22318,12.); +#6106=VECTOR('',#22319,12.); +#6107=VECTOR('',#22322,12.); +#6108=VECTOR('',#22323,12.); +#6109=VECTOR('',#22330,12.); +#6110=VECTOR('',#22331,12.); +#6111=VECTOR('',#22332,12.); +#6112=VECTOR('',#22333,12.); +#6113=VECTOR('',#22336,12.); +#6114=VECTOR('',#22337,12.); +#6115=VECTOR('',#22338,12.); +#6116=VECTOR('',#22341,12.); +#6117=VECTOR('',#22342,12.); +#6118=VECTOR('',#22343,12.); +#6119=VECTOR('',#22346,12.); +#6120=VECTOR('',#22347,12.); +#6121=VECTOR('',#22354,12.); +#6122=VECTOR('',#22355,12.); +#6123=VECTOR('',#22356,12.); +#6124=VECTOR('',#22357,12.); +#6125=VECTOR('',#22360,12.); +#6126=VECTOR('',#22361,12.); +#6127=VECTOR('',#22362,12.); +#6128=VECTOR('',#22365,12.); +#6129=VECTOR('',#22366,12.); +#6130=VECTOR('',#22367,12.); +#6131=VECTOR('',#22370,12.); +#6132=VECTOR('',#22371,12.); +#6133=VECTOR('',#22378,12.); +#6134=VECTOR('',#22379,12.); +#6135=VECTOR('',#22380,12.); +#6136=VECTOR('',#22381,12.); +#6137=VECTOR('',#22384,12.); +#6138=VECTOR('',#22385,12.); +#6139=VECTOR('',#22386,12.); +#6140=VECTOR('',#22389,12.); +#6141=VECTOR('',#22390,12.); +#6142=VECTOR('',#22391,12.); +#6143=VECTOR('',#22394,12.); +#6144=VECTOR('',#22395,12.); +#6145=VECTOR('',#22402,12.); +#6146=VECTOR('',#22403,12.); +#6147=VECTOR('',#22404,12.); +#6148=VECTOR('',#22405,12.); +#6149=VECTOR('',#22408,12.); +#6150=VECTOR('',#22409,12.); +#6151=VECTOR('',#22410,12.); +#6152=VECTOR('',#22413,12.); +#6153=VECTOR('',#22414,12.); +#6154=VECTOR('',#22415,12.); +#6155=VECTOR('',#22428,12.); +#6156=VECTOR('',#22429,12.); +#6157=VECTOR('',#22430,12.); +#6158=VECTOR('',#22431,12.); +#6159=VECTOR('',#22434,12.); +#6160=VECTOR('',#22435,12.); +#6161=VECTOR('',#22436,12.); +#6162=VECTOR('',#22439,12.); +#6163=VECTOR('',#22440,12.); +#6164=VECTOR('',#22441,12.); +#6165=VECTOR('',#22454,12.); +#6166=VECTOR('',#22455,12.); +#6167=VECTOR('',#22456,12.); +#6168=VECTOR('',#22457,12.); +#6169=VECTOR('',#22460,12.); +#6170=VECTOR('',#22461,12.); +#6171=VECTOR('',#22462,12.); +#6172=VECTOR('',#22465,12.); +#6173=VECTOR('',#22466,12.); +#6174=VECTOR('',#22467,12.); +#6175=VECTOR('',#22480,12.); +#6176=VECTOR('',#22481,12.); +#6177=VECTOR('',#22482,12.); +#6178=VECTOR('',#22483,12.); +#6179=VECTOR('',#22486,12.); +#6180=VECTOR('',#22487,12.); +#6181=VECTOR('',#22488,12.); +#6182=VECTOR('',#22491,12.); +#6183=VECTOR('',#22492,12.); +#6184=VECTOR('',#22493,12.); +#6185=VECTOR('',#22506,12.); +#6186=VECTOR('',#22507,12.); +#6187=VECTOR('',#22508,12.); +#6188=VECTOR('',#22509,12.); +#6189=VECTOR('',#22512,12.); +#6190=VECTOR('',#22513,12.); +#6191=VECTOR('',#22514,12.); +#6192=VECTOR('',#22517,12.); +#6193=VECTOR('',#22518,12.); +#6194=VECTOR('',#22519,12.); +#6195=VECTOR('',#22532,12.); +#6196=VECTOR('',#22533,12.); +#6197=VECTOR('',#22534,12.); +#6198=VECTOR('',#22535,12.); +#6199=VECTOR('',#22538,12.); +#6200=VECTOR('',#22539,12.); +#6201=VECTOR('',#22540,12.); +#6202=VECTOR('',#22543,12.); +#6203=VECTOR('',#22544,12.); +#6204=VECTOR('',#22545,12.); +#6205=VECTOR('',#22558,12.); +#6206=VECTOR('',#22559,12.); +#6207=VECTOR('',#22560,12.); +#6208=VECTOR('',#22561,12.); +#6209=VECTOR('',#22564,12.); +#6210=VECTOR('',#22565,12.); +#6211=VECTOR('',#22566,12.); +#6212=VECTOR('',#22569,12.); +#6213=VECTOR('',#22570,12.); +#6214=VECTOR('',#22571,12.); +#6215=VECTOR('',#22584,12.); +#6216=VECTOR('',#22585,12.); +#6217=VECTOR('',#22586,12.); +#6218=VECTOR('',#22587,12.); +#6219=VECTOR('',#22590,12.); +#6220=VECTOR('',#22591,12.); +#6221=VECTOR('',#22592,12.); +#6222=VECTOR('',#22595,12.); +#6223=VECTOR('',#22596,12.); +#6224=VECTOR('',#22597,12.); +#6225=VECTOR('',#22610,12.); +#6226=VECTOR('',#22611,12.); +#6227=VECTOR('',#22612,12.); +#6228=VECTOR('',#22613,12.); +#6229=VECTOR('',#22616,12.); +#6230=VECTOR('',#22617,12.); +#6231=VECTOR('',#22618,12.); +#6232=VECTOR('',#22621,12.); +#6233=VECTOR('',#22622,12.); +#6234=VECTOR('',#22623,12.); +#6235=VECTOR('',#22636,12.); +#6236=VECTOR('',#22637,12.); +#6237=VECTOR('',#22638,12.); +#6238=VECTOR('',#22639,12.); +#6239=VECTOR('',#22642,12.); +#6240=VECTOR('',#22643,12.); +#6241=VECTOR('',#22644,12.); +#6242=VECTOR('',#22647,12.); +#6243=VECTOR('',#22648,12.); +#6244=VECTOR('',#22649,12.); +#6245=VECTOR('',#22662,12.); +#6246=VECTOR('',#22663,12.); +#6247=VECTOR('',#22664,12.); +#6248=VECTOR('',#22665,12.); +#6249=VECTOR('',#22668,12.); +#6250=VECTOR('',#22669,12.); +#6251=VECTOR('',#22670,12.); +#6252=VECTOR('',#22673,12.); +#6253=VECTOR('',#22674,12.); +#6254=VECTOR('',#22675,12.); +#6255=VECTOR('',#22688,12.); +#6256=VECTOR('',#22689,12.); +#6257=VECTOR('',#22690,12.); +#6258=VECTOR('',#22691,12.); +#6259=VECTOR('',#22694,12.); +#6260=VECTOR('',#22695,12.); +#6261=VECTOR('',#22696,12.); +#6262=VECTOR('',#22699,12.); +#6263=VECTOR('',#22700,12.); +#6264=VECTOR('',#22701,12.); +#6265=VECTOR('',#22714,12.); +#6266=VECTOR('',#22715,12.); +#6267=VECTOR('',#22716,12.); +#6268=VECTOR('',#22717,12.); +#6269=VECTOR('',#22720,12.); +#6270=VECTOR('',#22721,12.); +#6271=VECTOR('',#22722,12.); +#6272=VECTOR('',#22725,12.); +#6273=VECTOR('',#22726,12.); +#6274=VECTOR('',#22727,12.); +#6275=VECTOR('',#22740,12.); +#6276=VECTOR('',#22741,12.); +#6277=VECTOR('',#22742,12.); +#6278=VECTOR('',#22743,12.); +#6279=VECTOR('',#22746,12.); +#6280=VECTOR('',#22747,12.); +#6281=VECTOR('',#22748,12.); +#6282=VECTOR('',#22751,12.); +#6283=VECTOR('',#22752,12.); +#6284=VECTOR('',#22753,12.); +#6285=VECTOR('',#22766,12.); +#6286=VECTOR('',#22767,12.); +#6287=VECTOR('',#22768,12.); +#6288=VECTOR('',#22769,12.); +#6289=VECTOR('',#22772,12.); +#6290=VECTOR('',#22773,12.); +#6291=VECTOR('',#22774,12.); +#6292=VECTOR('',#22777,12.); +#6293=VECTOR('',#22778,12.); +#6294=VECTOR('',#22779,12.); +#6295=VECTOR('',#22792,12.); +#6296=VECTOR('',#22793,12.); +#6297=VECTOR('',#22794,12.); +#6298=VECTOR('',#22795,12.); +#6299=VECTOR('',#22798,12.); +#6300=VECTOR('',#22799,12.); +#6301=VECTOR('',#22800,12.); +#6302=VECTOR('',#22803,12.); +#6303=VECTOR('',#22804,12.); +#6304=VECTOR('',#22805,12.); +#6305=VECTOR('',#22818,12.); +#6306=VECTOR('',#22819,12.); +#6307=VECTOR('',#22820,12.); +#6308=VECTOR('',#22821,12.); +#6309=VECTOR('',#22824,12.); +#6310=VECTOR('',#22825,12.); +#6311=VECTOR('',#22826,12.); +#6312=VECTOR('',#22829,12.); +#6313=VECTOR('',#22830,12.); +#6314=VECTOR('',#22831,12.); +#6315=VECTOR('',#22844,12.); +#6316=VECTOR('',#22845,12.); +#6317=VECTOR('',#22846,12.); +#6318=VECTOR('',#22847,12.); +#6319=VECTOR('',#22850,12.); +#6320=VECTOR('',#22851,12.); +#6321=VECTOR('',#22852,12.); +#6322=VECTOR('',#22855,12.); +#6323=VECTOR('',#22856,12.); +#6324=VECTOR('',#22857,12.); +#6325=VECTOR('',#22870,12.); +#6326=VECTOR('',#22871,12.); +#6327=VECTOR('',#22872,12.); +#6328=VECTOR('',#22873,12.); +#6329=VECTOR('',#22876,12.); +#6330=VECTOR('',#22877,12.); +#6331=VECTOR('',#22878,12.); +#6332=VECTOR('',#22881,12.); +#6333=VECTOR('',#22882,12.); +#6334=VECTOR('',#22883,12.); +#6335=VECTOR('',#22896,12.); +#6336=VECTOR('',#22897,12.); +#6337=VECTOR('',#22898,12.); +#6338=VECTOR('',#22899,12.); +#6339=VECTOR('',#22902,12.); +#6340=VECTOR('',#22903,12.); +#6341=VECTOR('',#22904,12.); +#6342=VECTOR('',#22907,12.); +#6343=VECTOR('',#22908,12.); +#6344=VECTOR('',#22909,12.); +#6345=VECTOR('',#22922,12.); +#6346=VECTOR('',#22923,12.); +#6347=VECTOR('',#22924,12.); +#6348=VECTOR('',#22925,12.); +#6349=VECTOR('',#22928,12.); +#6350=VECTOR('',#22929,12.); +#6351=VECTOR('',#22930,12.); +#6352=VECTOR('',#22933,12.); +#6353=VECTOR('',#22934,12.); +#6354=VECTOR('',#22935,12.); +#6355=VECTOR('',#22948,12.); +#6356=VECTOR('',#22949,12.); +#6357=VECTOR('',#22950,12.); +#6358=VECTOR('',#22951,12.); +#6359=VECTOR('',#22954,12.); +#6360=VECTOR('',#22955,12.); +#6361=VECTOR('',#22956,12.); +#6362=VECTOR('',#22959,12.); +#6363=VECTOR('',#22960,12.); +#6364=VECTOR('',#22961,12.); +#6365=VECTOR('',#22974,12.); +#6366=VECTOR('',#22975,12.); +#6367=VECTOR('',#22976,12.); +#6368=VECTOR('',#22977,12.); +#6369=VECTOR('',#22980,12.); +#6370=VECTOR('',#22981,12.); +#6371=VECTOR('',#22982,12.); +#6372=VECTOR('',#22985,12.); +#6373=VECTOR('',#22986,12.); +#6374=VECTOR('',#22987,12.); +#6375=VECTOR('',#23000,12.); +#6376=VECTOR('',#23001,12.); +#6377=VECTOR('',#23002,12.); +#6378=VECTOR('',#23003,12.); +#6379=VECTOR('',#23006,12.); +#6380=VECTOR('',#23007,12.); +#6381=VECTOR('',#23008,12.); +#6382=VECTOR('',#23011,12.); +#6383=VECTOR('',#23012,12.); +#6384=VECTOR('',#23013,12.); +#6385=VECTOR('',#23026,12.); +#6386=VECTOR('',#23027,12.); +#6387=VECTOR('',#23028,12.); +#6388=VECTOR('',#23029,12.); +#6389=VECTOR('',#23032,12.); +#6390=VECTOR('',#23033,12.); +#6391=VECTOR('',#23034,12.); +#6392=VECTOR('',#23037,12.); +#6393=VECTOR('',#23038,12.); +#6394=VECTOR('',#23039,12.); +#6395=VECTOR('',#23052,12.); +#6396=VECTOR('',#23053,12.); +#6397=VECTOR('',#23054,12.); +#6398=VECTOR('',#23055,12.); +#6399=VECTOR('',#23058,12.); +#6400=VECTOR('',#23059,12.); +#6401=VECTOR('',#23060,12.); +#6402=VECTOR('',#23063,12.); +#6403=VECTOR('',#23064,12.); +#6404=VECTOR('',#23065,12.); +#6405=VECTOR('',#23078,12.); +#6406=VECTOR('',#23079,12.); +#6407=VECTOR('',#23080,12.); +#6408=VECTOR('',#23081,12.); +#6409=VECTOR('',#23084,12.); +#6410=VECTOR('',#23085,12.); +#6411=VECTOR('',#23086,12.); +#6412=VECTOR('',#23089,12.); +#6413=VECTOR('',#23090,12.); +#6414=VECTOR('',#23091,12.); +#6415=VECTOR('',#23104,12.); +#6416=VECTOR('',#23105,12.); +#6417=VECTOR('',#23106,12.); +#6418=VECTOR('',#23107,12.); +#6419=VECTOR('',#23110,12.); +#6420=VECTOR('',#23111,12.); +#6421=VECTOR('',#23112,12.); +#6422=VECTOR('',#23115,12.); +#6423=VECTOR('',#23116,12.); +#6424=VECTOR('',#23117,12.); +#6425=VECTOR('',#23130,12.); +#6426=VECTOR('',#23131,12.); +#6427=VECTOR('',#23132,12.); +#6428=VECTOR('',#23133,12.); +#6429=VECTOR('',#23136,12.); +#6430=VECTOR('',#23137,12.); +#6431=VECTOR('',#23138,12.); +#6432=VECTOR('',#23141,12.); +#6433=VECTOR('',#23142,12.); +#6434=VECTOR('',#23143,12.); +#6435=VECTOR('',#23146,12.); +#6436=VECTOR('',#23147,12.); +#6437=VECTOR('',#23148,12.); +#6438=VECTOR('',#23151,12.); +#6439=VECTOR('',#23152,12.); +#6440=VECTOR('',#23153,12.); +#6441=VECTOR('',#23156,12.); +#6442=VECTOR('',#23157,12.); +#6443=VECTOR('',#23158,12.); +#6444=VECTOR('',#23161,12.); +#6445=VECTOR('',#23162,12.); +#6446=VECTOR('',#23163,12.); +#6447=VECTOR('',#23166,12.); +#6448=VECTOR('',#23167,12.); +#6449=VECTOR('',#23168,12.); +#6450=VECTOR('',#23171,12.); +#6451=VECTOR('',#23172,12.); +#6452=VECTOR('',#23173,12.); +#6453=VECTOR('',#23176,12.); +#6454=VECTOR('',#23177,12.); +#6455=VECTOR('',#23178,12.); +#6456=VECTOR('',#23181,12.); +#6457=VECTOR('',#23182,12.); +#6458=VECTOR('',#23183,12.); +#6459=VECTOR('',#23186,12.); +#6460=VECTOR('',#23187,12.); +#6461=VECTOR('',#23188,12.); +#6462=VECTOR('',#23191,12.); +#6463=VECTOR('',#23192,12.); +#6464=VECTOR('',#23193,12.); +#6465=VECTOR('',#23196,12.); +#6466=VECTOR('',#23197,12.); +#6467=VECTOR('',#23208,10.); +#6468=VECTOR('',#23211,10.); +#6469=VECTOR('',#23214,10.); +#6470=VECTOR('',#23215,10.); +#6471=VECTOR('',#23222,10.); +#6472=VECTOR('',#23225,10.); +#6473=VECTOR('',#23228,10.); +#6474=VECTOR('',#23229,10.); +#6475=VECTOR('',#23236,10.); +#6476=VECTOR('',#23239,10.); +#6477=VECTOR('',#23242,10.); +#6478=VECTOR('',#23243,10.); +#6479=VECTOR('',#23248,10.); +#6480=VECTOR('',#23251,10.); +#6481=VECTOR('',#23254,10.); +#6482=VECTOR('',#23255,10.); +#6483=VECTOR('',#23256,10.); +#6484=VECTOR('',#23257,10.); +#6485=VECTOR('',#23258,10.); +#6486=VECTOR('',#23261,10.); +#6487=VECTOR('',#23264,10.); +#6488=VECTOR('',#23267,10.); +#6489=VECTOR('',#23270,10.); +#6490=VECTOR('',#23275,10.); +#6491=VECTOR('',#23280,10.); +#6492=VECTOR('',#23285,10.); +#6493=VECTOR('',#23286,10.); +#6494=VECTOR('',#23287,10.); +#6495=VECTOR('',#23292,10.); +#6496=VECTOR('',#23295,10.); +#6497=VECTOR('',#23308,10.); +#6498=VECTOR('',#23309,10.); +#6499=VECTOR('',#23322,10.); +#6500=VECTOR('',#23323,10.); +#6501=VECTOR('',#23336,10.); +#6502=VECTOR('',#23337,10.); +#6503=VECTOR('',#23354,10.); +#6504=VECTOR('',#23363,10.); +#6505=VECTOR('',#23368,10.); +#6506=VECTOR('',#23369,10.); +#6507=VECTOR('',#23370,10.); +#6508=VECTOR('',#23375,10.); +#6509=VECTOR('',#23384,10.); +#6510=VECTOR('',#23391,10.); +#6511=VECTOR('',#23404,1.); +#6512=VECTOR('',#23407,1.); +#6513=VECTOR('',#23410,1.); +#6514=VECTOR('',#23413,1.); +#6515=VECTOR('',#23416,1.); +#6516=VECTOR('',#23419,1.); +#6517=VECTOR('',#23430,1.); +#6518=VECTOR('',#23449,1.); +#6519=VECTOR('',#23452,1.); +#6520=VECTOR('',#23453,1.); +#6521=VECTOR('',#23462,1.); +#6522=VECTOR('',#23463,1.); +#6523=VECTOR('',#23466,1.); +#6524=VECTOR('',#23467,1.); +#6525=VECTOR('',#23476,1.); +#6526=VECTOR('',#23477,1.); +#6527=VECTOR('',#23484,1.); +#6528=VECTOR('',#23491,1.); +#6529=VECTOR('',#23494,1.); +#6530=VECTOR('',#23501,1.); +#6531=VECTOR('',#23504,1.); +#6532=VECTOR('',#23511,1.); +#6533=VECTOR('',#23514,1.); +#6534=VECTOR('',#23519,1.); +#6535=VECTOR('',#23526,1.); +#6536=VECTOR('',#23531,1.); +#6537=VECTOR('',#23536,1.); +#6538=VECTOR('',#23555,1.); +#6539=VECTOR('',#23564,1.); +#6540=VECTOR('',#23565,1.); +#6541=VECTOR('',#23572,1.); +#6542=VECTOR('',#23573,1.); +#6543=VECTOR('',#23580,1.); +#6544=VECTOR('',#23581,1.); +#6545=VECTOR('',#23584,1.); +#6546=VECTOR('',#23585,1.); +#6547=VECTOR('',#23592,1.); +#6548=VECTOR('',#23593,1.); +#6549=VECTOR('',#23600,1.); +#6550=VECTOR('',#23601,1.); +#6551=VECTOR('',#23642,1.); +#6552=VECTOR('',#23645,1.); +#6553=VECTOR('',#23648,1.); +#6554=VECTOR('',#23651,1.); +#6555=VECTOR('',#23654,1.); +#6556=VECTOR('',#23657,1.); +#6557=VECTOR('',#23668,1.); +#6558=VECTOR('',#23687,1.); +#6559=VECTOR('',#23690,1.); +#6560=VECTOR('',#23691,1.); +#6561=VECTOR('',#23700,1.); +#6562=VECTOR('',#23701,1.); +#6563=VECTOR('',#23704,1.); +#6564=VECTOR('',#23705,1.); +#6565=VECTOR('',#23714,1.); +#6566=VECTOR('',#23715,1.); +#6567=VECTOR('',#23722,1.); +#6568=VECTOR('',#23729,1.); +#6569=VECTOR('',#23732,1.); +#6570=VECTOR('',#23739,1.); +#6571=VECTOR('',#23742,1.); +#6572=VECTOR('',#23749,1.); +#6573=VECTOR('',#23752,1.); +#6574=VECTOR('',#23757,1.); +#6575=VECTOR('',#23764,1.); +#6576=VECTOR('',#23769,1.); +#6577=VECTOR('',#23774,1.); +#6578=VECTOR('',#23793,1.); +#6579=VECTOR('',#23802,1.); +#6580=VECTOR('',#23803,1.); +#6581=VECTOR('',#23810,1.); +#6582=VECTOR('',#23811,1.); +#6583=VECTOR('',#23818,1.); +#6584=VECTOR('',#23819,1.); +#6585=VECTOR('',#23822,1.); +#6586=VECTOR('',#23823,1.); +#6587=VECTOR('',#23830,1.); +#6588=VECTOR('',#23831,1.); +#6589=VECTOR('',#23838,1.); +#6590=VECTOR('',#23839,1.); +#6591=VECTOR('',#23878,1.); +#6592=VECTOR('',#23879,1.); +#6593=VECTOR('',#23882,1.); +#6594=VECTOR('',#23885,1.); +#6595=VECTOR('',#23888,1.); +#6596=VECTOR('',#23891,1.); +#6597=VECTOR('',#23902,1.); +#6598=VECTOR('',#23921,1.); +#6599=VECTOR('',#23924,1.); +#6600=VECTOR('',#23925,1.); +#6601=VECTOR('',#23934,1.); +#6602=VECTOR('',#23935,1.); +#6603=VECTOR('',#23938,1.); +#6604=VECTOR('',#23939,1.); +#6605=VECTOR('',#23948,1.); +#6606=VECTOR('',#23949,1.); +#6607=VECTOR('',#23952,1.); +#6608=VECTOR('',#23955,1.); +#6609=VECTOR('',#23958,1.); +#6610=VECTOR('',#23963,1.); +#6611=VECTOR('',#23968,1.); +#6612=VECTOR('',#23975,1.); +#6613=VECTOR('',#23978,1.); +#6614=VECTOR('',#23985,1.); +#6615=VECTOR('',#23988,1.); +#6616=VECTOR('',#23995,1.); +#6617=VECTOR('',#24000,1.); +#6618=VECTOR('',#24019,1.); +#6619=VECTOR('',#24028,1.); +#6620=VECTOR('',#24029,1.); +#6621=VECTOR('',#24036,1.); +#6622=VECTOR('',#24037,1.); +#6623=VECTOR('',#24044,1.); +#6624=VECTOR('',#24045,1.); +#6625=VECTOR('',#24048,1.); +#6626=VECTOR('',#24049,1.); +#6627=VECTOR('',#24056,1.); +#6628=VECTOR('',#24057,1.); +#6629=VECTOR('',#24064,1.); +#6630=VECTOR('',#24065,1.); +#6631=VECTOR('',#24102,1.); +#6632=VECTOR('',#24105,1.); +#6633=VECTOR('',#24108,1.); +#6634=VECTOR('',#24111,1.); +#6635=VECTOR('',#24114,1.); +#6636=VECTOR('',#24117,1.); +#6637=VECTOR('',#24128,1.); +#6638=VECTOR('',#24147,1.); +#6639=VECTOR('',#24150,1.); +#6640=VECTOR('',#24151,1.); +#6641=VECTOR('',#24160,1.); +#6642=VECTOR('',#24161,1.); +#6643=VECTOR('',#24164,1.); +#6644=VECTOR('',#24165,1.); +#6645=VECTOR('',#24174,1.); +#6646=VECTOR('',#24175,1.); +#6647=VECTOR('',#24182,1.); +#6648=VECTOR('',#24189,1.); +#6649=VECTOR('',#24192,1.); +#6650=VECTOR('',#24199,1.); +#6651=VECTOR('',#24202,1.); +#6652=VECTOR('',#24209,1.); +#6653=VECTOR('',#24212,1.); +#6654=VECTOR('',#24217,1.); +#6655=VECTOR('',#24224,1.); +#6656=VECTOR('',#24229,1.); +#6657=VECTOR('',#24234,1.); +#6658=VECTOR('',#24253,1.); +#6659=VECTOR('',#24262,1.); +#6660=VECTOR('',#24263,1.); +#6661=VECTOR('',#24270,1.); +#6662=VECTOR('',#24271,1.); +#6663=VECTOR('',#24278,1.); +#6664=VECTOR('',#24279,1.); +#6665=VECTOR('',#24282,1.); +#6666=VECTOR('',#24283,1.); +#6667=VECTOR('',#24290,1.); +#6668=VECTOR('',#24291,1.); +#6669=VECTOR('',#24298,1.); +#6670=VECTOR('',#24299,1.); +#6671=VECTOR('',#24338,1000.); +#6672=VECTOR('',#24339,1000.); +#6673=VECTOR('',#24340,1000.); +#6674=VECTOR('',#24341,1000.); +#6675=VECTOR('',#24344,1000.); +#6676=VECTOR('',#24345,1000.); +#6677=VECTOR('',#24346,1000.); +#6678=VECTOR('',#24347,1000.); +#6679=VECTOR('',#24348,1000.); +#6680=VECTOR('',#24349,1000.); +#6681=VECTOR('',#24350,1000.); +#6682=VECTOR('',#24351,1000.); +#6683=VECTOR('',#24352,1000.); +#6684=VECTOR('',#24353,1000.); +#6685=VECTOR('',#24354,1000.); +#6686=VECTOR('',#24355,1000.); +#6687=VECTOR('',#24358,1000.); +#6688=VECTOR('',#24359,1000.); +#6689=VECTOR('',#24360,1000.); +#6690=VECTOR('',#24361,1000.); +#6691=VECTOR('',#24364,1000.); +#6692=VECTOR('',#24365,1000.); +#6693=VECTOR('',#24366,1000.); +#6694=VECTOR('',#24367,1000.); +#6695=VECTOR('',#24368,1000.); +#6696=VECTOR('',#24369,1000.); +#6697=VECTOR('',#24370,1000.); +#6698=VECTOR('',#24371,1000.); +#6699=VECTOR('',#24374,1000.); +#6700=VECTOR('',#24375,1000.); +#6701=VECTOR('',#24376,1000.); +#6702=VECTOR('',#24377,1000.); +#6703=VECTOR('',#24380,1000.); +#6704=VECTOR('',#24381,1000.); +#6705=VECTOR('',#24382,1000.); +#6706=VECTOR('',#24385,1000.); +#6707=VECTOR('',#24386,1000.); +#6708=VECTOR('',#24387,1000.); +#6709=VECTOR('',#24388,1000.); +#6710=VECTOR('',#24389,1000.); +#6711=VECTOR('',#24390,1000.); +#6712=VECTOR('',#24393,1000.); +#6713=VECTOR('',#24394,1000.); +#6714=VECTOR('',#24395,1000.); +#6715=VECTOR('',#24398,1000.); +#6716=VECTOR('',#24399,1000.); +#6717=VECTOR('',#24400,1000.); +#6718=VECTOR('',#24401,1000.); +#6719=VECTOR('',#24402,1000.); +#6720=VECTOR('',#24403,1000.); +#6721=VECTOR('',#24404,1000.); +#6722=VECTOR('',#24405,1000.); +#6723=VECTOR('',#24406,1000.); +#6724=VECTOR('',#24409,1000.); +#6725=VECTOR('',#24410,1000.); +#6726=VECTOR('',#24411,1000.); +#6727=VECTOR('',#24412,1000.); +#6728=VECTOR('',#24415,1000.); +#6729=VECTOR('',#24416,1000.); +#6730=VECTOR('',#24417,1000.); +#6731=VECTOR('',#24418,1000.); +#6732=VECTOR('',#24421,1000.); +#6733=VECTOR('',#24422,1000.); +#6734=VECTOR('',#24423,1000.); +#6735=VECTOR('',#24426,1000.); +#6736=VECTOR('',#24427,1000.); +#6737=VECTOR('',#24428,1000.); +#6738=VECTOR('',#24429,1000.); +#6739=VECTOR('',#24432,1000.); +#6740=VECTOR('',#24433,1000.); +#6741=VECTOR('',#24434,1000.); +#6742=VECTOR('',#24437,1000.); +#6743=VECTOR('',#24438,1000.); +#6744=VECTOR('',#24439,1000.); +#6745=VECTOR('',#24440,1000.); +#6746=VECTOR('',#24443,1000.); +#6747=VECTOR('',#24444,1000.); +#6748=VECTOR('',#24445,1000.); +#6749=VECTOR('',#24580,1000.); +#6750=VECTOR('',#24581,1000.); +#6751=VECTOR('',#24582,1000.); +#6752=VECTOR('',#24583,1000.); +#6753=VECTOR('',#24586,1000.); +#6754=VECTOR('',#24587,1000.); +#6755=VECTOR('',#24588,1000.); +#6756=VECTOR('',#24591,1000.); +#6757=VECTOR('',#24592,1000.); +#6758=VECTOR('',#24593,1000.); +#6759=VECTOR('',#24594,1000.); +#6760=VECTOR('',#24597,1000.); +#6761=VECTOR('',#24598,1000.); +#6762=VECTOR('',#24599,1000.); +#6763=VECTOR('',#24602,1000.); +#6764=VECTOR('',#24603,1000.); +#6765=VECTOR('',#24604,1000.); +#6766=VECTOR('',#24605,1000.); +#6767=VECTOR('',#24608,1000.); +#6768=VECTOR('',#24609,1000.); +#6769=VECTOR('',#24610,1000.); +#6770=VECTOR('',#24641,1000.); +#6771=VECTOR('',#24642,1000.); +#6772=VECTOR('',#24643,1000.); +#6773=VECTOR('',#24644,1000.); +#6774=VECTOR('',#24647,1000.); +#6775=VECTOR('',#24648,1000.); +#6776=VECTOR('',#24649,1000.); +#6777=VECTOR('',#24652,1000.); +#6778=VECTOR('',#24653,1000.); +#6779=VECTOR('',#24654,1000.); +#6780=VECTOR('',#24655,1000.); +#6781=VECTOR('',#24658,1000.); +#6782=VECTOR('',#24659,1000.); +#6783=VECTOR('',#24660,1000.); +#6784=VECTOR('',#24663,1000.); +#6785=VECTOR('',#24664,1000.); +#6786=VECTOR('',#24665,1000.); +#6787=VECTOR('',#24666,1000.); +#6788=VECTOR('',#24669,1000.); +#6789=VECTOR('',#24670,1000.); +#6790=VECTOR('',#24671,1000.); +#6791=VECTOR('',#24704,10.); +#6792=VECTOR('',#24705,10.); +#6793=VECTOR('',#24706,10.); +#6794=VECTOR('',#24707,10.); +#6795=VECTOR('',#24710,10.); +#6796=VECTOR('',#24711,10.); +#6797=VECTOR('',#24712,10.); +#6798=VECTOR('',#24713,10.); +#6799=VECTOR('',#24716,10.); +#6800=VECTOR('',#24717,10.); +#6801=VECTOR('',#24718,10.); +#6802=VECTOR('',#24721,10.); +#6803=VECTOR('',#24722,10.); +#6804=VECTOR('',#24723,10.); +#6805=VECTOR('',#24726,10.); +#6806=VECTOR('',#24727,10.); +#6807=VECTOR('',#24730,10.); +#6808=VECTOR('',#24731,10.); +#6809=VECTOR('',#24732,10.); +#6810=VECTOR('',#24733,10.); +#6811=VECTOR('',#24736,10.); +#6812=VECTOR('',#24737,10.); +#6813=VECTOR('',#24740,10.); +#6814=VECTOR('',#24741,10.); +#6815=VECTOR('',#24742,10.); +#6816=VECTOR('',#24745,10.); +#6817=VECTOR('',#24746,10.); +#6818=VECTOR('',#24747,10.); +#6819=VECTOR('',#24748,10.); +#6820=VECTOR('',#24749,10.); +#6821=VECTOR('',#24750,10.); +#6822=VECTOR('',#24751,10.); +#6823=VECTOR('',#24754,10.); +#6824=VECTOR('',#24757,10.); +#6825=VECTOR('',#24758,10.); +#6826=VECTOR('',#24759,10.); +#6827=VECTOR('',#24762,10.); +#6828=VECTOR('',#24763,10.); +#6829=VECTOR('',#24766,10.); +#6830=VECTOR('',#24767,10.); +#6831=VECTOR('',#24770,10.); +#6832=VECTOR('',#24775,10.); +#6833=VECTOR('',#24776,10.); +#6834=VECTOR('',#24779,10.); +#6835=VECTOR('',#24780,10.); +#6836=VECTOR('',#24783,10.); +#6837=VECTOR('',#24784,10.); +#6838=VECTOR('',#24789,10.); +#6839=VECTOR('',#24796,1000.); +#6840=VECTOR('',#24797,1000.); +#6841=VECTOR('',#24798,1000.); +#6842=VECTOR('',#24799,1000.); +#6843=VECTOR('',#24802,1000.); +#6844=VECTOR('',#24803,1000.); +#6845=VECTOR('',#24804,1000.); +#6846=VECTOR('',#24805,1000.); +#6847=VECTOR('',#24806,1000.); +#6848=VECTOR('',#24807,1000.); +#6849=VECTOR('',#24808,1000.); +#6850=VECTOR('',#24809,1000.); +#6851=VECTOR('',#24810,1000.); +#6852=VECTOR('',#24811,1000.); +#6853=VECTOR('',#24812,1000.); +#6854=VECTOR('',#24813,1000.); +#6855=VECTOR('',#24814,1000.); +#6856=VECTOR('',#24815,1000.); +#6857=VECTOR('',#24816,1000.); +#6858=VECTOR('',#24817,1000.); +#6859=VECTOR('',#24820,1000.); +#6860=VECTOR('',#24821,1000.); +#6861=VECTOR('',#24822,1000.); +#6862=VECTOR('',#24823,1000.); +#6863=VECTOR('',#24826,1000.); +#6864=VECTOR('',#24827,1000.); +#6865=VECTOR('',#24828,1000.); +#6866=VECTOR('',#24829,1000.); +#6867=VECTOR('',#24830,1000.); +#6868=VECTOR('',#24831,1000.); +#6869=VECTOR('',#24832,1000.); +#6870=VECTOR('',#24833,1000.); +#6871=VECTOR('',#24834,1000.); +#6872=VECTOR('',#24835,1000.); +#6873=VECTOR('',#24836,1000.); +#6874=VECTOR('',#24837,1000.); +#6875=VECTOR('',#24838,1000.); +#6876=VECTOR('',#24839,1000.); +#6877=VECTOR('',#24840,1000.); +#6878=VECTOR('',#24841,1000.); +#6879=VECTOR('',#24844,1000.); +#6880=VECTOR('',#24845,1000.); +#6881=VECTOR('',#24846,1000.); +#6882=VECTOR('',#24847,1000.); +#6883=VECTOR('',#24850,1000.); +#6884=VECTOR('',#24851,1000.); +#6885=VECTOR('',#24852,1000.); +#6886=VECTOR('',#24855,1000.); +#6887=VECTOR('',#24856,1000.); +#6888=VECTOR('',#24857,1000.); +#6889=VECTOR('',#24858,1000.); +#6890=VECTOR('',#24859,1000.); +#6891=VECTOR('',#24860,1000.); +#6892=VECTOR('',#24861,1000.); +#6893=VECTOR('',#24862,1000.); +#6894=VECTOR('',#24863,1000.); +#6895=VECTOR('',#24864,1000.); +#6896=VECTOR('',#24865,1000.); +#6897=VECTOR('',#24866,1000.); +#6898=VECTOR('',#24869,1000.); +#6899=VECTOR('',#24870,1000.); +#6900=VECTOR('',#24871,1000.); +#6901=VECTOR('',#24874,1000.); +#6902=VECTOR('',#24875,1000.); +#6903=VECTOR('',#24876,1000.); +#6904=VECTOR('',#24877,1000.); +#6905=VECTOR('',#24878,1000.); +#6906=VECTOR('',#24879,1000.); +#6907=VECTOR('',#24880,1000.); +#6908=VECTOR('',#24881,1000.); +#6909=VECTOR('',#24882,1000.); +#6910=VECTOR('',#24883,1000.); +#6911=VECTOR('',#24884,1000.); +#6912=VECTOR('',#24885,1000.); +#6913=VECTOR('',#24888,1000.); +#6914=VECTOR('',#24889,1000.); +#6915=VECTOR('',#24890,1000.); +#6916=VECTOR('',#24891,1000.); +#6917=VECTOR('',#24998,1000.); +#6918=VECTOR('',#24999,1000.); +#6919=VECTOR('',#25000,1000.); +#6920=VECTOR('',#25001,1000.); +#6921=VECTOR('',#25004,1000.); +#6922=VECTOR('',#25005,1000.); +#6923=VECTOR('',#25006,1000.); +#6924=VECTOR('',#25009,1000.); +#6925=VECTOR('',#25010,1000.); +#6926=VECTOR('',#25011,1000.); +#6927=VECTOR('',#25012,1000.); +#6928=VECTOR('',#25015,1000.); +#6929=VECTOR('',#25016,1000.); +#6930=VECTOR('',#25017,1000.); +#6931=VECTOR('',#25020,1000.); +#6932=VECTOR('',#25021,1000.); +#6933=VECTOR('',#25022,1000.); +#6934=VECTOR('',#25025,1000.); +#6935=VECTOR('',#25026,1000.); +#6936=VECTOR('',#25027,1000.); +#6937=VECTOR('',#25028,1000.); +#6938=VECTOR('',#25031,1000.); +#6939=VECTOR('',#25032,1000.); +#6940=VECTOR('',#25033,1000.); +#6941=VECTOR('',#25064,1000.); +#6942=VECTOR('',#25065,1000.); +#6943=VECTOR('',#25066,1000.); +#6944=VECTOR('',#25067,1000.); +#6945=VECTOR('',#25070,1000.); +#6946=VECTOR('',#25071,1000.); +#6947=VECTOR('',#25072,1000.); +#6948=VECTOR('',#25075,1000.); +#6949=VECTOR('',#25076,1000.); +#6950=VECTOR('',#25077,1000.); +#6951=VECTOR('',#25078,1000.); +#6952=VECTOR('',#25081,1000.); +#6953=VECTOR('',#25082,1000.); +#6954=VECTOR('',#25083,1000.); +#6955=VECTOR('',#25086,1000.); +#6956=VECTOR('',#25087,1000.); +#6957=VECTOR('',#25088,1000.); +#6958=VECTOR('',#25091,1000.); +#6959=VECTOR('',#25092,1000.); +#6960=VECTOR('',#25093,1000.); +#6961=VECTOR('',#25094,1000.); +#6962=VECTOR('',#25097,1000.); +#6963=VECTOR('',#25098,1000.); +#6964=VECTOR('',#25099,1000.); +#6965=VECTOR('',#25122,1000.); +#6966=VECTOR('',#25123,1000.); +#6967=VECTOR('',#25124,1000.); +#6968=VECTOR('',#25125,1000.); +#6969=VECTOR('',#25128,1000.); +#6970=VECTOR('',#25129,1000.); +#6971=VECTOR('',#25130,1000.); +#6972=VECTOR('',#25133,1000.); +#6973=VECTOR('',#25134,1000.); +#6974=VECTOR('',#25135,1000.); +#6975=VECTOR('',#25136,1000.); +#6976=VECTOR('',#25139,1000.); +#6977=VECTOR('',#25140,1000.); +#6978=VECTOR('',#25141,1000.); +#6979=VECTOR('',#25144,1000.); +#6980=VECTOR('',#25145,1000.); +#6981=VECTOR('',#25146,1000.); +#6982=VECTOR('',#25149,1000.); +#6983=VECTOR('',#25150,1000.); +#6984=VECTOR('',#25151,1000.); +#6985=VECTOR('',#25152,1000.); +#6986=VECTOR('',#25155,1000.); +#6987=VECTOR('',#25156,1000.); +#6988=VECTOR('',#25157,1000.); +#6989=VECTOR('',#25196,1000.); +#6990=VECTOR('',#25197,1000.); +#6991=VECTOR('',#25198,1000.); +#6992=VECTOR('',#25199,1000.); +#6993=VECTOR('',#25202,1000.); +#6994=VECTOR('',#25203,1000.); +#6995=VECTOR('',#25204,1000.); +#6996=VECTOR('',#25207,1000.); +#6997=VECTOR('',#25208,1000.); +#6998=VECTOR('',#25209,1000.); +#6999=VECTOR('',#25210,1000.); +#7000=VECTOR('',#25213,1000.); +#7001=VECTOR('',#25214,1000.); +#7002=VECTOR('',#25215,1000.); +#7003=VECTOR('',#25218,1000.); +#7004=VECTOR('',#25219,1000.); +#7005=VECTOR('',#25220,1000.); +#7006=VECTOR('',#25223,1000.); +#7007=VECTOR('',#25224,1000.); +#7008=VECTOR('',#25225,1000.); +#7009=VECTOR('',#25226,1000.); +#7010=VECTOR('',#25229,1000.); +#7011=VECTOR('',#25230,1000.); +#7012=VECTOR('',#25231,1000.); +#7013=VECTOR('',#25262,1000.); +#7014=VECTOR('',#25263,1000.); +#7015=VECTOR('',#25264,1000.); +#7016=VECTOR('',#25265,1000.); +#7017=VECTOR('',#25268,1000.); +#7018=VECTOR('',#25269,1000.); +#7019=VECTOR('',#25270,1000.); +#7020=VECTOR('',#25273,1000.); +#7021=VECTOR('',#25274,1000.); +#7022=VECTOR('',#25275,1000.); +#7023=VECTOR('',#25276,1000.); +#7024=VECTOR('',#25279,1000.); +#7025=VECTOR('',#25280,1000.); +#7026=VECTOR('',#25281,1000.); +#7027=VECTOR('',#25284,1000.); +#7028=VECTOR('',#25285,1000.); +#7029=VECTOR('',#25286,1000.); +#7030=VECTOR('',#25289,1000.); +#7031=VECTOR('',#25290,1000.); +#7032=VECTOR('',#25291,1000.); +#7033=VECTOR('',#25292,1000.); +#7034=VECTOR('',#25295,1000.); +#7035=VECTOR('',#25296,1000.); +#7036=VECTOR('',#25297,1000.); +#7037=VECTOR('',#25320,1000.); +#7038=VECTOR('',#25321,1000.); +#7039=VECTOR('',#25322,1000.); +#7040=VECTOR('',#25323,1000.); +#7041=VECTOR('',#25326,1000.); +#7042=VECTOR('',#25327,1000.); +#7043=VECTOR('',#25328,1000.); +#7044=VECTOR('',#25331,1000.); +#7045=VECTOR('',#25332,1000.); +#7046=VECTOR('',#25333,1000.); +#7047=VECTOR('',#25334,1000.); +#7048=VECTOR('',#25337,1000.); +#7049=VECTOR('',#25338,1000.); +#7050=VECTOR('',#25339,1000.); +#7051=VECTOR('',#25342,1000.); +#7052=VECTOR('',#25343,1000.); +#7053=VECTOR('',#25344,1000.); +#7054=VECTOR('',#25347,1000.); +#7055=VECTOR('',#25348,1000.); +#7056=VECTOR('',#25349,1000.); +#7057=VECTOR('',#25350,1000.); +#7058=VECTOR('',#25353,1000.); +#7059=VECTOR('',#25354,1000.); +#7060=VECTOR('',#25355,1000.); +#7061=VECTOR('',#25394,10.); +#7062=VECTOR('',#25395,10.); +#7063=VECTOR('',#25398,10.); +#7064=VECTOR('',#25399,10.); +#7065=VECTOR('',#25408,10.); +#7066=VECTOR('',#25409,10.); +#7067=VECTOR('',#25412,10.); +#7068=VECTOR('',#25413,10.); +#7069=VECTOR('',#25414,10.); +#7070=VECTOR('',#25415,10.); +#7071=VECTOR('',#25416,10.); +#7072=VECTOR('',#25417,10.); +#7073=VECTOR('',#25420,10.); +#7074=VECTOR('',#25421,10.); +#7075=VECTOR('',#25424,10.); +#7076=VECTOR('',#25429,10.); +#7077=VECTOR('',#25430,10.); +#7078=VECTOR('',#25433,10.); +#7079=VECTOR('',#25434,10.); +#7080=VECTOR('',#25435,10.); +#7081=VECTOR('',#25436,10.); +#7082=VECTOR('',#25437,10.); +#7083=VECTOR('',#25442,10.); +#7084=VECTOR('',#25445,10.); +#7085=VECTOR('',#25448,10.); +#7086=VECTOR('',#25451,10.); +#7087=VECTOR('',#25452,10.); +#7088=VECTOR('',#25453,10.); +#7089=VECTOR('',#25458,10.); +#7090=VECTOR('',#25459,10.); +#7091=VECTOR('',#25462,10.); +#7092=VECTOR('',#25463,10.); +#7093=VECTOR('',#25472,10.); +#7094=VECTOR('',#25473,10.); +#7095=VECTOR('',#25478,10.); +#7096=VECTOR('',#25481,10.); +#7097=VECTOR('',#25484,10.); +#7098=VECTOR('',#25487,10.); +#7099=VECTOR('',#25488,10.); +#7100=VECTOR('',#25489,10.); +#7101=VECTOR('',#25496,10.); +#7102=VECTOR('',#25499,10.); +#7103=VECTOR('',#25502,10.); +#7104=VECTOR('',#25505,10.); +#7105=VECTOR('',#25506,10.); +#7106=VECTOR('',#25507,10.); +#7107=VECTOR('',#25514,10.); +#7108=VECTOR('',#25517,10.); +#7109=VECTOR('',#25520,10.); +#7110=VECTOR('',#25523,10.); +#7111=VECTOR('',#25524,10.); +#7112=VECTOR('',#25525,10.); +#7113=VECTOR('',#25532,10.); +#7114=VECTOR('',#25535,10.); +#7115=VECTOR('',#25538,10.); +#7116=VECTOR('',#25541,10.); +#7117=VECTOR('',#25542,10.); +#7118=VECTOR('',#25543,10.); +#7119=VECTOR('',#25548,10.); +#7120=VECTOR('',#25549,10.); +#7121=VECTOR('',#25552,10.); +#7122=VECTOR('',#25553,10.); +#7123=VECTOR('',#25562,10.); +#7124=VECTOR('',#25563,10.); +#7125=VECTOR('',#25568,10.); +#7126=VECTOR('',#25571,10.); +#7127=VECTOR('',#25574,10.); +#7128=VECTOR('',#25577,10.); +#7129=VECTOR('',#25578,10.); +#7130=VECTOR('',#25579,10.); +#7131=VECTOR('',#25584,10.); +#7132=VECTOR('',#25585,10.); +#7133=VECTOR('',#25588,10.); +#7134=VECTOR('',#25589,10.); +#7135=VECTOR('',#25598,10.); +#7136=VECTOR('',#25599,10.); +#7137=VECTOR('',#25604,10.); +#7138=VECTOR('',#25607,10.); +#7139=VECTOR('',#25610,10.); +#7140=VECTOR('',#25613,10.); +#7141=VECTOR('',#25614,10.); +#7142=VECTOR('',#25615,10.); +#7143=VECTOR('',#25620,10.); +#7144=VECTOR('',#25621,10.); +#7145=VECTOR('',#25624,10.); +#7146=VECTOR('',#25625,10.); +#7147=VECTOR('',#25634,10.); +#7148=VECTOR('',#25635,10.); +#7149=VECTOR('',#25640,10.); +#7150=VECTOR('',#25643,10.); +#7151=VECTOR('',#25646,10.); +#7152=VECTOR('',#25649,10.); +#7153=VECTOR('',#25650,10.); +#7154=VECTOR('',#25651,10.); +#7155=VECTOR('',#25656,10.); +#7156=VECTOR('',#25657,10.); +#7157=VECTOR('',#25660,10.); +#7158=VECTOR('',#25661,10.); +#7159=VECTOR('',#25670,10.); +#7160=VECTOR('',#25671,10.); +#7161=VECTOR('',#25674,10.); +#7162=VECTOR('',#25675,10.); +#7163=VECTOR('',#25678,10.); +#7164=VECTOR('',#25679,10.); +#7165=VECTOR('',#25688,10.); +#7166=VECTOR('',#25689,10.); +#7167=VECTOR('',#25694,10.); +#7168=VECTOR('',#25697,10.); +#7169=VECTOR('',#25700,10.); +#7170=VECTOR('',#25703,10.); +#7171=VECTOR('',#25704,10.); +#7172=VECTOR('',#25705,10.); +#7173=VECTOR('',#25710,10.); +#7174=VECTOR('',#25711,10.); +#7175=VECTOR('',#25712,10.); +#7176=VECTOR('',#25713,10.); +#7177=VECTOR('',#25714,10.); +#7178=VECTOR('',#25715,10.); +#7179=VECTOR('',#25718,10.); +#7180=VECTOR('',#25719,10.); +#7181=VECTOR('',#25720,10.); +#7182=VECTOR('',#25721,10.); +#7183=VECTOR('',#25722,10.); +#7184=VECTOR('',#25723,10.); +#7185=VECTOR('',#25726,10.); +#7186=VECTOR('',#25727,10.); +#7187=VECTOR('',#25730,10.); +#7188=VECTOR('',#25735,10.); +#7189=VECTOR('',#25736,10.); +#7190=VECTOR('',#25739,10.); +#7191=VECTOR('',#25740,10.); +#7192=VECTOR('',#25741,10.); +#7193=VECTOR('',#25742,10.); +#7194=VECTOR('',#25743,10.); +#7195=VECTOR('',#25744,10.); +#7196=VECTOR('',#25747,10.); +#7197=VECTOR('',#25748,10.); +#7198=VECTOR('',#25749,10.); +#7199=VECTOR('',#25750,10.); +#7200=VECTOR('',#25751,10.); +#7201=VECTOR('',#25752,10.); +#7202=VECTOR('',#25755,10.); +#7203=VECTOR('',#25756,10.); +#7204=VECTOR('',#25757,10.); +#7205=VECTOR('',#25758,10.); +#7206=VECTOR('',#25759,10.); +#7207=VECTOR('',#25760,10.); +#7208=VECTOR('',#25763,10.); +#7209=VECTOR('',#25764,10.); +#7210=VECTOR('',#25765,10.); +#7211=VECTOR('',#25766,10.); +#7212=VECTOR('',#25767,10.); +#7213=VECTOR('',#25768,10.); +#7214=VECTOR('',#25771,10.); +#7215=VECTOR('',#25772,10.); +#7216=VECTOR('',#25773,10.); +#7217=VECTOR('',#25774,10.); +#7218=VECTOR('',#25775,10.); +#7219=VECTOR('',#25776,10.); +#7220=VECTOR('',#25779,10.); +#7221=VECTOR('',#25780,10.); +#7222=VECTOR('',#25783,10.); +#7223=VECTOR('',#25788,10.); +#7224=VECTOR('',#25789,10.); +#7225=VECTOR('',#25792,10.); +#7226=VECTOR('',#25793,10.); +#7227=VECTOR('',#25794,10.); +#7228=VECTOR('',#25795,10.); +#7229=VECTOR('',#25796,10.); +#7230=VECTOR('',#25797,10.); +#7231=VECTOR('',#25800,10.); +#7232=VECTOR('',#25801,10.); +#7233=VECTOR('',#25802,10.); +#7234=VECTOR('',#25803,10.); +#7235=VECTOR('',#25804,10.); +#7236=VECTOR('',#25805,10.); +#7237=VECTOR('',#25808,10.); +#7238=VECTOR('',#25809,10.); +#7239=VECTOR('',#25812,10.); +#7240=VECTOR('',#25817,10.); +#7241=VECTOR('',#25818,10.); +#7242=VECTOR('',#25821,10.); +#7243=VECTOR('',#25822,10.); +#7244=VECTOR('',#25823,10.); +#7245=VECTOR('',#25824,10.); +#7246=VECTOR('',#25825,10.); +#7247=VECTOR('',#25826,10.); +#7248=VECTOR('',#25829,10.); +#7249=VECTOR('',#25830,10.); +#7250=VECTOR('',#25831,10.); +#7251=VECTOR('',#25832,10.); +#7252=VECTOR('',#25833,10.); +#7253=VECTOR('',#25834,10.); +#7254=VECTOR('',#25837,10.); +#7255=VECTOR('',#25838,10.); +#7256=VECTOR('',#25841,10.); +#7257=VECTOR('',#25846,10.); +#7258=VECTOR('',#25847,10.); +#7259=VECTOR('',#25850,10.); +#7260=VECTOR('',#25851,10.); +#7261=VECTOR('',#25852,10.); +#7262=VECTOR('',#25853,10.); +#7263=VECTOR('',#25854,10.); +#7264=VECTOR('',#25855,10.); +#7265=VECTOR('',#25858,10.); +#7266=VECTOR('',#25859,10.); +#7267=VECTOR('',#25860,10.); +#7268=VECTOR('',#25861,10.); +#7269=VECTOR('',#25862,10.); +#7270=VECTOR('',#25863,10.); +#7271=VECTOR('',#25866,10.); +#7272=VECTOR('',#25867,10.); +#7273=VECTOR('',#25870,10.); +#7274=VECTOR('',#25875,10.); +#7275=VECTOR('',#25876,10.); +#7276=VECTOR('',#25879,10.); +#7277=VECTOR('',#25880,10.); +#7278=VECTOR('',#25881,10.); +#7279=VECTOR('',#25882,10.); +#7280=VECTOR('',#25883,10.); +#7281=VECTOR('',#25884,10.); +#7282=VECTOR('',#25887,10.); +#7283=VECTOR('',#25888,10.); +#7284=VECTOR('',#25891,10.); +#7285=VECTOR('',#25896,10.); +#7286=VECTOR('',#25897,10.); +#7287=VECTOR('',#25900,10.); +#7288=VECTOR('',#25901,10.); +#7289=VECTOR('',#25902,10.); +#7290=VECTOR('',#25903,10.); +#7291=VECTOR('',#25904,10.); +#7292=VECTOR('',#25905,10.); +#7293=VECTOR('',#25906,10.); +#7294=VECTOR('',#25907,10.); +#7295=VECTOR('',#25908,10.); +#7296=VECTOR('',#25909,10.); +#7297=VECTOR('',#25910,10.); +#7298=VECTOR('',#25911,10.); +#7299=VECTOR('',#25912,10.); +#7300=VECTOR('',#25913,10.); +#7301=VECTOR('',#25914,10.); +#7302=VECTOR('',#25915,10.); +#7303=VECTOR('',#25916,10.); +#7304=VECTOR('',#25917,10.); +#7305=VECTOR('',#25918,10.); +#7306=VECTOR('',#25919,10.); +#7307=VECTOR('',#25920,10.); +#7308=VECTOR('',#25921,10.); +#7309=VECTOR('',#25922,10.); +#7310=VECTOR('',#25923,10.); +#7311=VECTOR('',#25924,10.); +#7312=VECTOR('',#25925,10.); +#7313=VECTOR('',#25926,10.); +#7314=VECTOR('',#25927,10.); +#7315=VECTOR('',#25928,10.); +#7316=VECTOR('',#25929,10.); +#7317=VECTOR('',#25930,10.); +#7318=VECTOR('',#25931,10.); +#7319=VECTOR('',#25932,10.); +#7320=VECTOR('',#25933,10.); +#7321=VECTOR('',#25934,10.); +#7322=VECTOR('',#25935,10.); +#7323=VECTOR('',#25938,10.); +#7324=VECTOR('',#25939,10.); +#7325=VECTOR('',#25940,10.); +#7326=VECTOR('',#25941,10.); +#7327=VECTOR('',#25942,10.); +#7328=VECTOR('',#25947,0.4); +#7329=VECTOR('',#25950,10.); +#7330=VECTOR('',#25953,10.); +#7331=VECTOR('',#25956,10.); +#7332=VECTOR('',#25959,10.); +#7333=VECTOR('',#25962,10.); +#7334=VECTOR('',#25965,10.); +#7335=VECTOR('',#25968,10.); +#7336=VECTOR('',#25971,10.); +#7337=VECTOR('',#25976,0.4); +#7338=VECTOR('',#25979,10.); +#7339=VECTOR('',#25982,10.); +#7340=VECTOR('',#25987,0.4); +#7341=VECTOR('',#25992,0.4); +#7342=VECTOR('',#25997,0.4); +#7343=VECTOR('',#26000,10.); +#7344=VECTOR('',#26003,10.); +#7345=VECTOR('',#26008,0.400001218899277); +#7346=VECTOR('',#26013,0.4); +#7347=VECTOR('',#26018,0.4); +#7348=VECTOR('',#26023,0.4); +#7349=VECTOR('',#26028,0.4); +#7350=VECTOR('',#26033,0.4); +#7351=VECTOR('',#26038,0.4); +#7352=VECTOR('',#26041,10.); +#7353=VECTOR('',#26044,10.); +#7354=VECTOR('',#26049,0.4); +#7355=VECTOR('',#26054,0.4); +#7356=VECTOR('',#26057,10.); +#7357=VECTOR('',#26060,10.); +#7358=VECTOR('',#26065,0.4); +#7359=VECTOR('',#26070,0.4); +#7360=VECTOR('',#26073,10.); +#7361=VECTOR('',#26076,10.); +#7362=VECTOR('',#26079,10.); +#7363=VECTOR('',#26080,10.); +#7364=VECTOR('',#26083,10.); +#7365=VECTOR('',#26086,10.); +#7366=VECTOR('',#26089,10.); +#7367=VECTOR('',#26090,10.); +#7368=VECTOR('',#26093,10.); +#7369=VECTOR('',#26094,10.); +#7370=VECTOR('',#26097,10.); +#7371=VECTOR('',#26100,10.); +#7372=VECTOR('',#26101,10.); +#7373=VECTOR('',#26104,10.); +#7374=VECTOR('',#26107,10.); +#7375=VECTOR('',#26108,10.); +#7376=VECTOR('',#26111,10.); +#7377=VECTOR('',#26114,10.); +#7378=VECTOR('',#26117,10.); +#7379=VECTOR('',#26118,10.); +#7380=VECTOR('',#26121,10.); +#7381=VECTOR('',#26122,10.); +#7382=VECTOR('',#26125,10.); +#7383=VECTOR('',#26128,10.); +#7384=VECTOR('',#26129,10.); +#7385=VECTOR('',#26132,10.); +#7386=VECTOR('',#26135,10.); +#7387=VECTOR('',#26138,10.); +#7388=VECTOR('',#26139,10.); +#7389=VECTOR('',#26142,10.); +#7390=VECTOR('',#26143,10.); +#7391=VECTOR('',#26146,10.); +#7392=VECTOR('',#26147,10.); +#7393=VECTOR('',#26150,10.); +#7394=VECTOR('',#26151,10.); +#7395=VECTOR('',#26154,10.); +#7396=VECTOR('',#26155,10.); +#7397=VECTOR('',#26158,10.); +#7398=VECTOR('',#26159,10.); +#7399=VECTOR('',#26162,10.); +#7400=VECTOR('',#26163,10.); +#7401=VECTOR('',#26166,10.); +#7402=VECTOR('',#26167,10.); +#7403=VECTOR('',#26170,10.); +#7404=VECTOR('',#26171,10.); +#7405=VECTOR('',#26174,10.); +#7406=VECTOR('',#26175,10.); +#7407=VECTOR('',#26176,10.); +#7408=VECTOR('',#26179,10.); +#7409=VECTOR('',#26180,10.); +#7410=VECTOR('',#26183,10.); +#7411=VECTOR('',#26184,10.); +#7412=VECTOR('',#26187,10.); +#7413=VECTOR('',#26188,10.); +#7414=VECTOR('',#26191,10.); +#7415=VECTOR('',#26192,10.); +#7416=VECTOR('',#26195,10.); +#7417=VECTOR('',#26196,10.); +#7418=VECTOR('',#26199,10.); +#7419=VECTOR('',#26200,10.); +#7420=VECTOR('',#26203,10.); +#7421=VECTOR('',#26204,10.); +#7422=VECTOR('',#26207,10.); +#7423=VECTOR('',#26208,10.); +#7424=VECTOR('',#26209,10.); +#7425=VECTOR('',#26220,10.); +#7426=VECTOR('',#26221,10.); +#7427=VECTOR('',#26222,10.); +#7428=VECTOR('',#26233,10.); +#7429=VECTOR('',#26234,10.); +#7430=VECTOR('',#26235,10.); +#7431=VECTOR('',#26246,10.); +#7432=VECTOR('',#26247,10.); +#7433=VECTOR('',#26248,10.); +#7434=VECTOR('',#26263,10.); +#7435=VECTOR('',#26266,10.); +#7436=VECTOR('',#26267,10.); +#7437=VECTOR('',#26272,10.); +#7438=VECTOR('',#26273,10.); +#7439=VECTOR('',#26274,10.); +#7440=VECTOR('',#26285,10.); +#7441=VECTOR('',#26286,10.); +#7442=VECTOR('',#26287,10.); +#7443=VECTOR('',#26298,10.); +#7444=VECTOR('',#26299,10.); +#7445=VECTOR('',#26300,10.); +#7446=VECTOR('',#26311,10.); +#7447=VECTOR('',#26312,10.); +#7448=VECTOR('',#26313,10.); +#7449=VECTOR('',#26332,10.); +#7450=VECTOR('',#26333,10.); +#7451=VECTOR('',#26334,10.); +#7452=VECTOR('',#26345,10.); +#7453=VECTOR('',#26346,10.); +#7454=VECTOR('',#26347,10.); +#7455=VECTOR('',#26358,10.); +#7456=VECTOR('',#26359,10.); +#7457=VECTOR('',#26360,10.); +#7458=VECTOR('',#26361,10.); +#7459=VECTOR('',#26376,10.); +#7460=VECTOR('',#26379,10.); +#7461=VECTOR('',#26380,10.); +#7462=VECTOR('',#26385,10.); +#7463=VECTOR('',#26386,10.); +#7464=VECTOR('',#26387,10.); +#7465=VECTOR('',#26398,10.); +#7466=VECTOR('',#26399,10.); +#7467=VECTOR('',#26400,10.); +#7468=VECTOR('',#26401,10.); +#7469=VECTOR('',#26412,10.); +#7470=VECTOR('',#26413,10.); +#7471=VECTOR('',#26414,10.); +#7472=VECTOR('',#26415,10.); +#7473=VERTEX_POINT('',#26497); +#7474=VERTEX_POINT('',#26498); +#7475=VERTEX_POINT('',#26500); +#7476=VERTEX_POINT('',#26502); +#7477=VERTEX_POINT('',#26505); +#7478=VERTEX_POINT('',#26506); +#7479=VERTEX_POINT('',#26508); +#7480=VERTEX_POINT('',#26510); +#7481=VERTEX_POINT('',#26513); +#7482=VERTEX_POINT('',#26514); +#7483=VERTEX_POINT('',#26516); +#7484=VERTEX_POINT('',#26518); +#7485=VERTEX_POINT('',#26521); +#7486=VERTEX_POINT('',#26522); +#7487=VERTEX_POINT('',#26524); +#7488=VERTEX_POINT('',#26526); +#7489=VERTEX_POINT('',#26529); +#7490=VERTEX_POINT('',#26530); +#7491=VERTEX_POINT('',#26532); +#7492=VERTEX_POINT('',#26534); +#7493=VERTEX_POINT('',#26537); +#7494=VERTEX_POINT('',#26538); +#7495=VERTEX_POINT('',#26540); +#7496=VERTEX_POINT('',#26542); +#7497=VERTEX_POINT('',#26545); +#7498=VERTEX_POINT('',#26546); +#7499=VERTEX_POINT('',#26548); +#7500=VERTEX_POINT('',#26550); +#7501=VERTEX_POINT('',#26553); +#7502=VERTEX_POINT('',#26554); +#7503=VERTEX_POINT('',#26556); +#7504=VERTEX_POINT('',#26558); +#7505=VERTEX_POINT('',#26562); +#7506=VERTEX_POINT('',#26564); +#7507=VERTEX_POINT('',#26567); +#7508=VERTEX_POINT('',#26568); +#7509=VERTEX_POINT('',#26570); +#7510=VERTEX_POINT('',#26572); +#7511=VERTEX_POINT('',#26575); +#7512=VERTEX_POINT('',#26576); +#7513=VERTEX_POINT('',#26578); +#7514=VERTEX_POINT('',#26580); +#7515=VERTEX_POINT('',#26583); +#7516=VERTEX_POINT('',#26584); +#7517=VERTEX_POINT('',#26586); +#7518=VERTEX_POINT('',#26588); +#7519=VERTEX_POINT('',#26591); +#7520=VERTEX_POINT('',#26592); +#7521=VERTEX_POINT('',#26594); +#7522=VERTEX_POINT('',#26596); +#7523=VERTEX_POINT('',#26599); +#7524=VERTEX_POINT('',#26600); +#7525=VERTEX_POINT('',#26602); +#7526=VERTEX_POINT('',#26604); +#7527=VERTEX_POINT('',#26607); +#7528=VERTEX_POINT('',#26608); +#7529=VERTEX_POINT('',#26610); +#7530=VERTEX_POINT('',#26612); +#7531=VERTEX_POINT('',#26615); +#7532=VERTEX_POINT('',#26616); +#7533=VERTEX_POINT('',#26618); +#7534=VERTEX_POINT('',#26620); +#7535=VERTEX_POINT('',#26624); +#7536=VERTEX_POINT('',#26626); +#7537=VERTEX_POINT('',#26629); +#7538=VERTEX_POINT('',#26630); +#7539=VERTEX_POINT('',#26632); +#7540=VERTEX_POINT('',#26634); +#7541=VERTEX_POINT('',#26637); +#7542=VERTEX_POINT('',#26638); +#7543=VERTEX_POINT('',#26640); +#7544=VERTEX_POINT('',#26642); +#7545=VERTEX_POINT('',#26645); +#7546=VERTEX_POINT('',#26646); +#7547=VERTEX_POINT('',#26648); +#7548=VERTEX_POINT('',#26650); +#7549=VERTEX_POINT('',#26653); +#7550=VERTEX_POINT('',#26654); +#7551=VERTEX_POINT('',#26656); +#7552=VERTEX_POINT('',#26658); +#7553=VERTEX_POINT('',#26661); +#7554=VERTEX_POINT('',#26662); +#7555=VERTEX_POINT('',#26664); +#7556=VERTEX_POINT('',#26666); +#7557=VERTEX_POINT('',#26669); +#7558=VERTEX_POINT('',#26670); +#7559=VERTEX_POINT('',#26672); +#7560=VERTEX_POINT('',#26674); +#7561=VERTEX_POINT('',#26677); +#7562=VERTEX_POINT('',#26678); +#7563=VERTEX_POINT('',#26680); +#7564=VERTEX_POINT('',#26682); +#7565=VERTEX_POINT('',#26688); +#7566=VERTEX_POINT('',#26689); +#7567=VERTEX_POINT('',#26691); +#7568=VERTEX_POINT('',#26693); +#7569=VERTEX_POINT('',#26696); +#7570=VERTEX_POINT('',#26697); +#7571=VERTEX_POINT('',#26699); +#7572=VERTEX_POINT('',#26701); +#7573=VERTEX_POINT('',#26704); +#7574=VERTEX_POINT('',#26705); +#7575=VERTEX_POINT('',#26707); +#7576=VERTEX_POINT('',#26709); +#7577=VERTEX_POINT('',#26712); +#7578=VERTEX_POINT('',#26713); +#7579=VERTEX_POINT('',#26715); +#7580=VERTEX_POINT('',#26717); +#7581=VERTEX_POINT('',#26720); +#7582=VERTEX_POINT('',#26721); +#7583=VERTEX_POINT('',#26723); +#7584=VERTEX_POINT('',#26725); +#7585=VERTEX_POINT('',#26728); +#7586=VERTEX_POINT('',#26729); +#7587=VERTEX_POINT('',#26731); +#7588=VERTEX_POINT('',#26733); +#7589=VERTEX_POINT('',#26736); +#7590=VERTEX_POINT('',#26737); +#7591=VERTEX_POINT('',#26739); +#7592=VERTEX_POINT('',#26741); +#7593=VERTEX_POINT('',#26746); +#7594=VERTEX_POINT('',#26747); +#7595=VERTEX_POINT('',#26771); +#7596=VERTEX_POINT('',#26772); +#7597=VERTEX_POINT('',#26823); +#7598=VERTEX_POINT('',#26825); +#7599=VERTEX_POINT('',#26829); +#7600=VERTEX_POINT('',#26833); +#7601=VERTEX_POINT('',#26840); +#7602=VERTEX_POINT('',#26842); +#7603=VERTEX_POINT('',#26846); +#7604=VERTEX_POINT('',#26850); +#7605=VERTEX_POINT('',#26857); +#7606=VERTEX_POINT('',#26859); +#7607=VERTEX_POINT('',#26863); +#7608=VERTEX_POINT('',#26867); +#7609=VERTEX_POINT('',#26874); +#7610=VERTEX_POINT('',#26876); +#7611=VERTEX_POINT('',#26880); +#7612=VERTEX_POINT('',#26884); +#7613=VERTEX_POINT('',#26891); +#7614=VERTEX_POINT('',#26893); +#7615=VERTEX_POINT('',#26897); +#7616=VERTEX_POINT('',#26901); +#7617=VERTEX_POINT('',#26908); +#7618=VERTEX_POINT('',#26910); +#7619=VERTEX_POINT('',#26914); +#7620=VERTEX_POINT('',#26918); +#7621=VERTEX_POINT('',#26925); +#7622=VERTEX_POINT('',#26927); +#7623=VERTEX_POINT('',#26931); +#7624=VERTEX_POINT('',#26935); +#7625=VERTEX_POINT('',#26942); +#7626=VERTEX_POINT('',#26944); +#7627=VERTEX_POINT('',#26948); +#7628=VERTEX_POINT('',#26952); +#7629=VERTEX_POINT('',#26959); +#7630=VERTEX_POINT('',#26961); +#7631=VERTEX_POINT('',#26965); +#7632=VERTEX_POINT('',#26969); +#7633=VERTEX_POINT('',#26976); +#7634=VERTEX_POINT('',#26978); +#7635=VERTEX_POINT('',#26982); +#7636=VERTEX_POINT('',#26986); +#7637=VERTEX_POINT('',#26993); +#7638=VERTEX_POINT('',#26995); +#7639=VERTEX_POINT('',#26999); +#7640=VERTEX_POINT('',#27003); +#7641=VERTEX_POINT('',#27010); +#7642=VERTEX_POINT('',#27012); +#7643=VERTEX_POINT('',#27016); +#7644=VERTEX_POINT('',#27020); +#7645=VERTEX_POINT('',#27027); +#7646=VERTEX_POINT('',#27029); +#7647=VERTEX_POINT('',#27033); +#7648=VERTEX_POINT('',#27037); +#7649=VERTEX_POINT('',#27044); +#7650=VERTEX_POINT('',#27046); +#7651=VERTEX_POINT('',#27050); +#7652=VERTEX_POINT('',#27054); +#7653=VERTEX_POINT('',#27061); +#7654=VERTEX_POINT('',#27063); +#7655=VERTEX_POINT('',#27067); +#7656=VERTEX_POINT('',#27071); +#7657=VERTEX_POINT('',#27078); +#7658=VERTEX_POINT('',#27080); +#7659=VERTEX_POINT('',#27084); +#7660=VERTEX_POINT('',#27088); +#7661=VERTEX_POINT('',#27095); +#7662=VERTEX_POINT('',#27097); +#7663=VERTEX_POINT('',#27101); +#7664=VERTEX_POINT('',#27105); +#7665=VERTEX_POINT('',#27112); +#7666=VERTEX_POINT('',#27114); +#7667=VERTEX_POINT('',#27118); +#7668=VERTEX_POINT('',#27122); +#7669=VERTEX_POINT('',#27129); +#7670=VERTEX_POINT('',#27131); +#7671=VERTEX_POINT('',#27135); +#7672=VERTEX_POINT('',#27139); +#7673=VERTEX_POINT('',#27146); +#7674=VERTEX_POINT('',#27148); +#7675=VERTEX_POINT('',#27152); +#7676=VERTEX_POINT('',#27156); +#7677=VERTEX_POINT('',#27163); +#7678=VERTEX_POINT('',#27165); +#7679=VERTEX_POINT('',#27169); +#7680=VERTEX_POINT('',#27173); +#7681=VERTEX_POINT('',#27180); +#7682=VERTEX_POINT('',#27182); +#7683=VERTEX_POINT('',#27186); +#7684=VERTEX_POINT('',#27190); +#7685=VERTEX_POINT('',#27197); +#7686=VERTEX_POINT('',#27199); +#7687=VERTEX_POINT('',#27203); +#7688=VERTEX_POINT('',#27207); +#7689=VERTEX_POINT('',#27214); +#7690=VERTEX_POINT('',#27216); +#7691=VERTEX_POINT('',#27220); +#7692=VERTEX_POINT('',#27224); +#7693=VERTEX_POINT('',#27231); +#7694=VERTEX_POINT('',#27233); +#7695=VERTEX_POINT('',#27237); +#7696=VERTEX_POINT('',#27241); +#7697=VERTEX_POINT('',#27248); +#7698=VERTEX_POINT('',#27250); +#7699=VERTEX_POINT('',#27254); +#7700=VERTEX_POINT('',#27258); +#7701=VERTEX_POINT('',#27265); +#7702=VERTEX_POINT('',#27267); +#7703=VERTEX_POINT('',#27271); +#7704=VERTEX_POINT('',#27275); +#7705=VERTEX_POINT('',#27282); +#7706=VERTEX_POINT('',#27284); +#7707=VERTEX_POINT('',#27288); +#7708=VERTEX_POINT('',#27292); +#7709=VERTEX_POINT('',#27332); +#7710=VERTEX_POINT('',#27333); +#7711=VERTEX_POINT('',#27335); +#7712=VERTEX_POINT('',#27337); +#7713=VERTEX_POINT('',#27341); +#7714=VERTEX_POINT('',#27343); +#7715=VERTEX_POINT('',#27347); +#7716=VERTEX_POINT('',#27349); +#7717=VERTEX_POINT('',#27358); +#7718=VERTEX_POINT('',#27359); +#7719=VERTEX_POINT('',#27361); +#7720=VERTEX_POINT('',#27363); +#7721=VERTEX_POINT('',#27367); +#7722=VERTEX_POINT('',#27369); +#7723=VERTEX_POINT('',#27373); +#7724=VERTEX_POINT('',#27375); +#7725=VERTEX_POINT('',#27384); +#7726=VERTEX_POINT('',#27385); +#7727=VERTEX_POINT('',#27387); +#7728=VERTEX_POINT('',#27389); +#7729=VERTEX_POINT('',#27393); +#7730=VERTEX_POINT('',#27395); +#7731=VERTEX_POINT('',#27399); +#7732=VERTEX_POINT('',#27401); +#7733=VERTEX_POINT('',#27410); +#7734=VERTEX_POINT('',#27411); +#7735=VERTEX_POINT('',#27413); +#7736=VERTEX_POINT('',#27415); +#7737=VERTEX_POINT('',#27419); +#7738=VERTEX_POINT('',#27421); +#7739=VERTEX_POINT('',#27425); +#7740=VERTEX_POINT('',#27427); +#7741=VERTEX_POINT('',#27436); +#7742=VERTEX_POINT('',#27437); +#7743=VERTEX_POINT('',#27439); +#7744=VERTEX_POINT('',#27441); +#7745=VERTEX_POINT('',#27445); +#7746=VERTEX_POINT('',#27447); +#7747=VERTEX_POINT('',#27451); +#7748=VERTEX_POINT('',#27453); +#7749=VERTEX_POINT('',#27462); +#7750=VERTEX_POINT('',#27463); +#7751=VERTEX_POINT('',#27465); +#7752=VERTEX_POINT('',#27467); +#7753=VERTEX_POINT('',#27471); +#7754=VERTEX_POINT('',#27473); +#7755=VERTEX_POINT('',#27477); +#7756=VERTEX_POINT('',#27479); +#7757=VERTEX_POINT('',#27488); +#7758=VERTEX_POINT('',#27489); +#7759=VERTEX_POINT('',#27491); +#7760=VERTEX_POINT('',#27493); +#7761=VERTEX_POINT('',#27497); +#7762=VERTEX_POINT('',#27499); +#7763=VERTEX_POINT('',#27503); +#7764=VERTEX_POINT('',#27505); +#7765=VERTEX_POINT('',#27514); +#7766=VERTEX_POINT('',#27515); +#7767=VERTEX_POINT('',#27517); +#7768=VERTEX_POINT('',#27519); +#7769=VERTEX_POINT('',#27523); +#7770=VERTEX_POINT('',#27525); +#7771=VERTEX_POINT('',#27529); +#7772=VERTEX_POINT('',#27531); +#7773=VERTEX_POINT('',#27540); +#7774=VERTEX_POINT('',#27541); +#7775=VERTEX_POINT('',#27543); +#7776=VERTEX_POINT('',#27545); +#7777=VERTEX_POINT('',#27549); +#7778=VERTEX_POINT('',#27551); +#7779=VERTEX_POINT('',#27555); +#7780=VERTEX_POINT('',#27557); +#7781=VERTEX_POINT('',#27566); +#7782=VERTEX_POINT('',#27567); +#7783=VERTEX_POINT('',#27569); +#7784=VERTEX_POINT('',#27571); +#7785=VERTEX_POINT('',#27575); +#7786=VERTEX_POINT('',#27577); +#7787=VERTEX_POINT('',#27581); +#7788=VERTEX_POINT('',#27583); +#7789=VERTEX_POINT('',#27592); +#7790=VERTEX_POINT('',#27593); +#7791=VERTEX_POINT('',#27595); +#7792=VERTEX_POINT('',#27597); +#7793=VERTEX_POINT('',#27601); +#7794=VERTEX_POINT('',#27603); +#7795=VERTEX_POINT('',#27607); +#7796=VERTEX_POINT('',#27609); +#7797=VERTEX_POINT('',#27618); +#7798=VERTEX_POINT('',#27619); +#7799=VERTEX_POINT('',#27621); +#7800=VERTEX_POINT('',#27623); +#7801=VERTEX_POINT('',#27627); +#7802=VERTEX_POINT('',#27629); +#7803=VERTEX_POINT('',#27633); +#7804=VERTEX_POINT('',#27635); +#7805=VERTEX_POINT('',#27644); +#7806=VERTEX_POINT('',#27645); +#7807=VERTEX_POINT('',#27647); +#7808=VERTEX_POINT('',#27649); +#7809=VERTEX_POINT('',#27653); +#7810=VERTEX_POINT('',#27655); +#7811=VERTEX_POINT('',#27659); +#7812=VERTEX_POINT('',#27661); +#7813=VERTEX_POINT('',#27670); +#7814=VERTEX_POINT('',#27671); +#7815=VERTEX_POINT('',#27673); +#7816=VERTEX_POINT('',#27675); +#7817=VERTEX_POINT('',#27679); +#7818=VERTEX_POINT('',#27681); +#7819=VERTEX_POINT('',#27685); +#7820=VERTEX_POINT('',#27687); +#7821=VERTEX_POINT('',#27696); +#7822=VERTEX_POINT('',#27697); +#7823=VERTEX_POINT('',#27699); +#7824=VERTEX_POINT('',#27701); +#7825=VERTEX_POINT('',#27705); +#7826=VERTEX_POINT('',#27707); +#7827=VERTEX_POINT('',#27711); +#7828=VERTEX_POINT('',#27713); +#7829=VERTEX_POINT('',#27722); +#7830=VERTEX_POINT('',#27723); +#7831=VERTEX_POINT('',#27725); +#7832=VERTEX_POINT('',#27727); +#7833=VERTEX_POINT('',#27731); +#7834=VERTEX_POINT('',#27733); +#7835=VERTEX_POINT('',#27737); +#7836=VERTEX_POINT('',#27739); +#7837=VERTEX_POINT('',#27748); +#7838=VERTEX_POINT('',#27749); +#7839=VERTEX_POINT('',#27751); +#7840=VERTEX_POINT('',#27753); +#7841=VERTEX_POINT('',#27757); +#7842=VERTEX_POINT('',#27759); +#7843=VERTEX_POINT('',#27763); +#7844=VERTEX_POINT('',#27765); +#7845=VERTEX_POINT('',#27774); +#7846=VERTEX_POINT('',#27775); +#7847=VERTEX_POINT('',#27777); +#7848=VERTEX_POINT('',#27779); +#7849=VERTEX_POINT('',#27783); +#7850=VERTEX_POINT('',#27785); +#7851=VERTEX_POINT('',#27789); +#7852=VERTEX_POINT('',#27791); +#7853=VERTEX_POINT('',#27800); +#7854=VERTEX_POINT('',#27801); +#7855=VERTEX_POINT('',#27803); +#7856=VERTEX_POINT('',#27805); +#7857=VERTEX_POINT('',#27809); +#7858=VERTEX_POINT('',#27811); +#7859=VERTEX_POINT('',#27815); +#7860=VERTEX_POINT('',#27817); +#7861=VERTEX_POINT('',#27826); +#7862=VERTEX_POINT('',#27827); +#7863=VERTEX_POINT('',#27829); +#7864=VERTEX_POINT('',#27831); +#7865=VERTEX_POINT('',#27835); +#7866=VERTEX_POINT('',#27837); +#7867=VERTEX_POINT('',#27841); +#7868=VERTEX_POINT('',#27843); +#7869=VERTEX_POINT('',#27852); +#7870=VERTEX_POINT('',#27853); +#7871=VERTEX_POINT('',#27855); +#7872=VERTEX_POINT('',#27857); +#7873=VERTEX_POINT('',#27861); +#7874=VERTEX_POINT('',#27863); +#7875=VERTEX_POINT('',#27867); +#7876=VERTEX_POINT('',#27869); +#7877=VERTEX_POINT('',#27878); +#7878=VERTEX_POINT('',#27879); +#7879=VERTEX_POINT('',#27881); +#7880=VERTEX_POINT('',#27883); +#7881=VERTEX_POINT('',#27887); +#7882=VERTEX_POINT('',#27889); +#7883=VERTEX_POINT('',#27893); +#7884=VERTEX_POINT('',#27895); +#7885=VERTEX_POINT('',#27904); +#7886=VERTEX_POINT('',#27905); +#7887=VERTEX_POINT('',#27907); +#7888=VERTEX_POINT('',#27909); +#7889=VERTEX_POINT('',#27913); +#7890=VERTEX_POINT('',#27915); +#7891=VERTEX_POINT('',#27919); +#7892=VERTEX_POINT('',#27921); +#7893=VERTEX_POINT('',#27930); +#7894=VERTEX_POINT('',#27931); +#7895=VERTEX_POINT('',#27933); +#7896=VERTEX_POINT('',#27935); +#7897=VERTEX_POINT('',#27939); +#7898=VERTEX_POINT('',#27941); +#7899=VERTEX_POINT('',#27945); +#7900=VERTEX_POINT('',#27947); +#7901=VERTEX_POINT('',#27956); +#7902=VERTEX_POINT('',#27957); +#7903=VERTEX_POINT('',#27959); +#7904=VERTEX_POINT('',#27961); +#7905=VERTEX_POINT('',#27965); +#7906=VERTEX_POINT('',#27967); +#7907=VERTEX_POINT('',#27971); +#7908=VERTEX_POINT('',#27973); +#7909=VERTEX_POINT('',#27982); +#7910=VERTEX_POINT('',#27983); +#7911=VERTEX_POINT('',#27985); +#7912=VERTEX_POINT('',#27987); +#7913=VERTEX_POINT('',#27991); +#7914=VERTEX_POINT('',#27993); +#7915=VERTEX_POINT('',#27997); +#7916=VERTEX_POINT('',#27999); +#7917=VERTEX_POINT('',#28008); +#7918=VERTEX_POINT('',#28009); +#7919=VERTEX_POINT('',#28011); +#7920=VERTEX_POINT('',#28013); +#7921=VERTEX_POINT('',#28017); +#7922=VERTEX_POINT('',#28019); +#7923=VERTEX_POINT('',#28023); +#7924=VERTEX_POINT('',#28025); +#7925=VERTEX_POINT('',#28034); +#7926=VERTEX_POINT('',#28035); +#7927=VERTEX_POINT('',#28037); +#7928=VERTEX_POINT('',#28039); +#7929=VERTEX_POINT('',#28043); +#7930=VERTEX_POINT('',#28045); +#7931=VERTEX_POINT('',#28049); +#7932=VERTEX_POINT('',#28051); +#7933=VERTEX_POINT('',#28060); +#7934=VERTEX_POINT('',#28061); +#7935=VERTEX_POINT('',#28063); +#7936=VERTEX_POINT('',#28065); +#7937=VERTEX_POINT('',#28069); +#7938=VERTEX_POINT('',#28071); +#7939=VERTEX_POINT('',#28075); +#7940=VERTEX_POINT('',#28077); +#7941=VERTEX_POINT('',#28086); +#7942=VERTEX_POINT('',#28087); +#7943=VERTEX_POINT('',#28089); +#7944=VERTEX_POINT('',#28091); +#7945=VERTEX_POINT('',#28095); +#7946=VERTEX_POINT('',#28097); +#7947=VERTEX_POINT('',#28101); +#7948=VERTEX_POINT('',#28103); +#7949=VERTEX_POINT('',#28112); +#7950=VERTEX_POINT('',#28113); +#7951=VERTEX_POINT('',#28115); +#7952=VERTEX_POINT('',#28117); +#7953=VERTEX_POINT('',#28121); +#7954=VERTEX_POINT('',#28123); +#7955=VERTEX_POINT('',#28127); +#7956=VERTEX_POINT('',#28129); +#7957=VERTEX_POINT('',#28138); +#7958=VERTEX_POINT('',#28139); +#7959=VERTEX_POINT('',#28141); +#7960=VERTEX_POINT('',#28143); +#7961=VERTEX_POINT('',#28147); +#7962=VERTEX_POINT('',#28149); +#7963=VERTEX_POINT('',#28153); +#7964=VERTEX_POINT('',#28155); +#7965=VERTEX_POINT('',#28164); +#7966=VERTEX_POINT('',#28165); +#7967=VERTEX_POINT('',#28167); +#7968=VERTEX_POINT('',#28169); +#7969=VERTEX_POINT('',#28173); +#7970=VERTEX_POINT('',#28175); +#7971=VERTEX_POINT('',#28179); +#7972=VERTEX_POINT('',#28181); +#7973=VERTEX_POINT('',#28190); +#7974=VERTEX_POINT('',#28191); +#7975=VERTEX_POINT('',#28193); +#7976=VERTEX_POINT('',#28195); +#7977=VERTEX_POINT('',#28199); +#7978=VERTEX_POINT('',#28201); +#7979=VERTEX_POINT('',#28205); +#7980=VERTEX_POINT('',#28207); +#7981=VERTEX_POINT('',#28216); +#7982=VERTEX_POINT('',#28217); +#7983=VERTEX_POINT('',#28219); +#7984=VERTEX_POINT('',#28221); +#7985=VERTEX_POINT('',#28225); +#7986=VERTEX_POINT('',#28227); +#7987=VERTEX_POINT('',#28231); +#7988=VERTEX_POINT('',#28233); +#7989=VERTEX_POINT('',#28242); +#7990=VERTEX_POINT('',#28243); +#7991=VERTEX_POINT('',#28245); +#7992=VERTEX_POINT('',#28247); +#7993=VERTEX_POINT('',#28251); +#7994=VERTEX_POINT('',#28253); +#7995=VERTEX_POINT('',#28257); +#7996=VERTEX_POINT('',#28259); +#7997=VERTEX_POINT('',#28268); +#7998=VERTEX_POINT('',#28269); +#7999=VERTEX_POINT('',#28271); +#8000=VERTEX_POINT('',#28273); +#8001=VERTEX_POINT('',#28277); +#8002=VERTEX_POINT('',#28279); +#8003=VERTEX_POINT('',#28283); +#8004=VERTEX_POINT('',#28285); +#8005=VERTEX_POINT('',#28294); +#8006=VERTEX_POINT('',#28295); +#8007=VERTEX_POINT('',#28297); +#8008=VERTEX_POINT('',#28299); +#8009=VERTEX_POINT('',#28303); +#8010=VERTEX_POINT('',#28305); +#8011=VERTEX_POINT('',#28309); +#8012=VERTEX_POINT('',#28311); +#8013=VERTEX_POINT('',#28320); +#8014=VERTEX_POINT('',#28321); +#8015=VERTEX_POINT('',#28323); +#8016=VERTEX_POINT('',#28325); +#8017=VERTEX_POINT('',#28329); +#8018=VERTEX_POINT('',#28331); +#8019=VERTEX_POINT('',#28335); +#8020=VERTEX_POINT('',#28337); +#8021=VERTEX_POINT('',#28346); +#8022=VERTEX_POINT('',#28347); +#8023=VERTEX_POINT('',#28349); +#8024=VERTEX_POINT('',#28351); +#8025=VERTEX_POINT('',#28355); +#8026=VERTEX_POINT('',#28357); +#8027=VERTEX_POINT('',#28361); +#8028=VERTEX_POINT('',#28363); +#8029=VERTEX_POINT('',#28372); +#8030=VERTEX_POINT('',#28373); +#8031=VERTEX_POINT('',#28375); +#8032=VERTEX_POINT('',#28377); +#8033=VERTEX_POINT('',#28381); +#8034=VERTEX_POINT('',#28383); +#8035=VERTEX_POINT('',#28387); +#8036=VERTEX_POINT('',#28389); +#8037=VERTEX_POINT('',#28398); +#8038=VERTEX_POINT('',#28399); +#8039=VERTEX_POINT('',#28401); +#8040=VERTEX_POINT('',#28403); +#8041=VERTEX_POINT('',#28407); +#8042=VERTEX_POINT('',#28409); +#8043=VERTEX_POINT('',#28413); +#8044=VERTEX_POINT('',#28415); +#8045=VERTEX_POINT('',#28424); +#8046=VERTEX_POINT('',#28425); +#8047=VERTEX_POINT('',#28427); +#8048=VERTEX_POINT('',#28429); +#8049=VERTEX_POINT('',#28433); +#8050=VERTEX_POINT('',#28435); +#8051=VERTEX_POINT('',#28439); +#8052=VERTEX_POINT('',#28441); +#8053=VERTEX_POINT('',#28450); +#8054=VERTEX_POINT('',#28451); +#8055=VERTEX_POINT('',#28453); +#8056=VERTEX_POINT('',#28455); +#8057=VERTEX_POINT('',#28459); +#8058=VERTEX_POINT('',#28461); +#8059=VERTEX_POINT('',#28465); +#8060=VERTEX_POINT('',#28467); +#8061=VERTEX_POINT('',#28476); +#8062=VERTEX_POINT('',#28477); +#8063=VERTEX_POINT('',#28479); +#8064=VERTEX_POINT('',#28481); +#8065=VERTEX_POINT('',#28485); +#8066=VERTEX_POINT('',#28487); +#8067=VERTEX_POINT('',#28491); +#8068=VERTEX_POINT('',#28493); +#8069=VERTEX_POINT('',#28502); +#8070=VERTEX_POINT('',#28503); +#8071=VERTEX_POINT('',#28505); +#8072=VERTEX_POINT('',#28507); +#8073=VERTEX_POINT('',#28511); +#8074=VERTEX_POINT('',#28513); +#8075=VERTEX_POINT('',#28517); +#8076=VERTEX_POINT('',#28519); +#8077=VERTEX_POINT('',#28528); +#8078=VERTEX_POINT('',#28529); +#8079=VERTEX_POINT('',#28531); +#8080=VERTEX_POINT('',#28533); +#8081=VERTEX_POINT('',#28537); +#8082=VERTEX_POINT('',#28539); +#8083=VERTEX_POINT('',#28543); +#8084=VERTEX_POINT('',#28545); +#8085=VERTEX_POINT('',#28554); +#8086=VERTEX_POINT('',#28555); +#8087=VERTEX_POINT('',#28557); +#8088=VERTEX_POINT('',#28559); +#8089=VERTEX_POINT('',#28563); +#8090=VERTEX_POINT('',#28565); +#8091=VERTEX_POINT('',#28569); +#8092=VERTEX_POINT('',#28571); +#8093=VERTEX_POINT('',#28580); +#8094=VERTEX_POINT('',#28581); +#8095=VERTEX_POINT('',#28583); +#8096=VERTEX_POINT('',#28585); +#8097=VERTEX_POINT('',#28589); +#8098=VERTEX_POINT('',#28591); +#8099=VERTEX_POINT('',#28595); +#8100=VERTEX_POINT('',#28597); +#8101=VERTEX_POINT('',#28606); +#8102=VERTEX_POINT('',#28607); +#8103=VERTEX_POINT('',#28609); +#8104=VERTEX_POINT('',#28611); +#8105=VERTEX_POINT('',#28615); +#8106=VERTEX_POINT('',#28617); +#8107=VERTEX_POINT('',#28621); +#8108=VERTEX_POINT('',#28623); +#8109=VERTEX_POINT('',#28632); +#8110=VERTEX_POINT('',#28633); +#8111=VERTEX_POINT('',#28635); +#8112=VERTEX_POINT('',#28637); +#8113=VERTEX_POINT('',#28641); +#8114=VERTEX_POINT('',#28643); +#8115=VERTEX_POINT('',#28647); +#8116=VERTEX_POINT('',#28649); +#8117=VERTEX_POINT('',#28658); +#8118=VERTEX_POINT('',#28659); +#8119=VERTEX_POINT('',#28661); +#8120=VERTEX_POINT('',#28663); +#8121=VERTEX_POINT('',#28667); +#8122=VERTEX_POINT('',#28669); +#8123=VERTEX_POINT('',#28673); +#8124=VERTEX_POINT('',#28675); +#8125=VERTEX_POINT('',#28684); +#8126=VERTEX_POINT('',#28685); +#8127=VERTEX_POINT('',#28687); +#8128=VERTEX_POINT('',#28689); +#8129=VERTEX_POINT('',#28693); +#8130=VERTEX_POINT('',#28695); +#8131=VERTEX_POINT('',#28699); +#8132=VERTEX_POINT('',#28701); +#8133=VERTEX_POINT('',#28710); +#8134=VERTEX_POINT('',#28711); +#8135=VERTEX_POINT('',#28713); +#8136=VERTEX_POINT('',#28715); +#8137=VERTEX_POINT('',#28719); +#8138=VERTEX_POINT('',#28721); +#8139=VERTEX_POINT('',#28725); +#8140=VERTEX_POINT('',#28727); +#8141=VERTEX_POINT('',#28736); +#8142=VERTEX_POINT('',#28737); +#8143=VERTEX_POINT('',#28739); +#8144=VERTEX_POINT('',#28741); +#8145=VERTEX_POINT('',#28745); +#8146=VERTEX_POINT('',#28747); +#8147=VERTEX_POINT('',#28751); +#8148=VERTEX_POINT('',#28753); +#8149=VERTEX_POINT('',#28762); +#8150=VERTEX_POINT('',#28763); +#8151=VERTEX_POINT('',#28765); +#8152=VERTEX_POINT('',#28767); +#8153=VERTEX_POINT('',#28771); +#8154=VERTEX_POINT('',#28773); +#8155=VERTEX_POINT('',#28777); +#8156=VERTEX_POINT('',#28779); +#8157=VERTEX_POINT('',#28788); +#8158=VERTEX_POINT('',#28789); +#8159=VERTEX_POINT('',#28791); +#8160=VERTEX_POINT('',#28793); +#8161=VERTEX_POINT('',#28797); +#8162=VERTEX_POINT('',#28799); +#8163=VERTEX_POINT('',#28803); +#8164=VERTEX_POINT('',#28805); +#8165=VERTEX_POINT('',#28809); +#8166=VERTEX_POINT('',#28811); +#8167=VERTEX_POINT('',#28815); +#8168=VERTEX_POINT('',#28817); +#8169=VERTEX_POINT('',#28821); +#8170=VERTEX_POINT('',#28823); +#8171=VERTEX_POINT('',#28827); +#8172=VERTEX_POINT('',#28829); +#8173=VERTEX_POINT('',#28833); +#8174=VERTEX_POINT('',#28835); +#8175=VERTEX_POINT('',#28839); +#8176=VERTEX_POINT('',#28841); +#8177=VERTEX_POINT('',#28845); +#8178=VERTEX_POINT('',#28847); +#8179=VERTEX_POINT('',#28851); +#8180=VERTEX_POINT('',#28853); +#8181=VERTEX_POINT('',#28857); +#8182=VERTEX_POINT('',#28859); +#8183=VERTEX_POINT('',#28863); +#8184=VERTEX_POINT('',#28865); +#8185=VERTEX_POINT('',#28875); +#8186=VERTEX_POINT('',#28876); +#8187=VERTEX_POINT('',#28878); +#8188=VERTEX_POINT('',#28880); +#8189=VERTEX_POINT('',#28884); +#8190=VERTEX_POINT('',#28886); +#8191=VERTEX_POINT('',#28890); +#8192=VERTEX_POINT('',#28891); +#8193=VERTEX_POINT('',#28893); +#8194=VERTEX_POINT('',#28895); +#8195=VERTEX_POINT('',#28899); +#8196=VERTEX_POINT('',#28901); +#8197=VERTEX_POINT('',#28905); +#8198=VERTEX_POINT('',#28906); +#8199=VERTEX_POINT('',#28908); +#8200=VERTEX_POINT('',#28910); +#8201=VERTEX_POINT('',#28914); +#8202=VERTEX_POINT('',#28916); +#8203=VERTEX_POINT('',#28920); +#8204=VERTEX_POINT('',#28922); +#8205=VERTEX_POINT('',#28926); +#8206=VERTEX_POINT('',#28928); +#8207=VERTEX_POINT('',#28930); +#8208=VERTEX_POINT('',#28932); +#8209=VERTEX_POINT('',#28936); +#8210=VERTEX_POINT('',#28938); +#8211=VERTEX_POINT('',#28942); +#8212=VERTEX_POINT('',#28944); +#8213=VERTEX_POINT('',#28948); +#8214=VERTEX_POINT('',#28950); +#8215=VERTEX_POINT('',#28954); +#8216=VERTEX_POINT('',#28962); +#8217=VERTEX_POINT('',#28963); +#8218=VERTEX_POINT('',#28965); +#8219=VERTEX_POINT('',#28967); +#8220=VERTEX_POINT('',#28971); +#8221=VERTEX_POINT('',#28972); +#8222=VERTEX_POINT('',#28977); +#8223=VERTEX_POINT('',#28978); +#8224=VERTEX_POINT('',#28983); +#8225=VERTEX_POINT('',#28984); +#8226=VERTEX_POINT('',#28989); +#8227=VERTEX_POINT('',#28990); +#8228=VERTEX_POINT('',#28995); +#8229=VERTEX_POINT('',#28996); +#8230=VERTEX_POINT('',#29001); +#8231=VERTEX_POINT('',#29002); +#8232=VERTEX_POINT('',#29018); +#8233=VERTEX_POINT('',#29020); +#8234=VERTEX_POINT('',#29024); +#8235=VERTEX_POINT('',#29036); +#8236=VERTEX_POINT('',#29040); +#8237=VERTEX_POINT('',#29044); +#8238=VERTEX_POINT('',#29050); +#8239=VERTEX_POINT('',#29052); +#8240=VERTEX_POINT('',#29064); +#8241=VERTEX_POINT('',#29087); +#8242=VERTEX_POINT('',#29088); +#8243=VERTEX_POINT('',#29090); +#8244=VERTEX_POINT('',#29092); +#8245=VERTEX_POINT('',#29096); +#8246=VERTEX_POINT('',#29098); +#8247=VERTEX_POINT('',#29102); +#8248=VERTEX_POINT('',#29104); +#8249=VERTEX_POINT('',#29108); +#8250=VERTEX_POINT('',#29110); +#8251=VERTEX_POINT('',#29112); +#8252=VERTEX_POINT('',#29114); +#8253=VERTEX_POINT('',#29116); +#8254=VERTEX_POINT('',#29118); +#8255=VERTEX_POINT('',#29122); +#8256=VERTEX_POINT('',#29123); +#8257=VERTEX_POINT('',#29125); +#8258=VERTEX_POINT('',#29128); +#8259=VERTEX_POINT('',#29130); +#8260=VERTEX_POINT('',#29132); +#8261=VERTEX_POINT('',#29136); +#8262=VERTEX_POINT('',#29140); +#8263=VERTEX_POINT('',#29144); +#8264=VERTEX_POINT('',#29148); +#8265=VERTEX_POINT('',#29152); +#8266=VERTEX_POINT('',#29156); +#8267=VERTEX_POINT('',#29160); +#8268=VERTEX_POINT('',#29162); +#8269=VERTEX_POINT('',#29166); +#8270=VERTEX_POINT('',#29168); +#8271=VERTEX_POINT('',#29172); +#8272=VERTEX_POINT('',#29176); +#8273=VERTEX_POINT('',#29180); +#8274=VERTEX_POINT('',#29184); +#8275=VERTEX_POINT('',#29188); +#8276=VERTEX_POINT('',#29192); +#8277=VERTEX_POINT('',#29196); +#8278=VERTEX_POINT('',#29200); +#8279=VERTEX_POINT('',#29204); +#8280=VERTEX_POINT('',#29205); +#8281=VERTEX_POINT('',#29207); +#8282=VERTEX_POINT('',#29209); +#8283=VERTEX_POINT('',#29211); +#8284=VERTEX_POINT('',#29214); +#8285=VERTEX_POINT('',#29218); +#8286=VERTEX_POINT('',#29220); +#8287=VERTEX_POINT('',#29222); +#8288=VERTEX_POINT('',#29224); +#8289=VERTEX_POINT('',#29226); +#8290=VERTEX_POINT('',#29228); +#8291=VERTEX_POINT('',#29232); +#8292=VERTEX_POINT('',#29238); +#8293=VERTEX_POINT('',#29244); +#8294=VERTEX_POINT('',#29248); +#8295=VERTEX_POINT('',#29254); +#8296=VERTEX_POINT('',#29260); +#8297=VERTEX_POINT('',#29282); +#8298=VERTEX_POINT('',#29283); +#8299=VERTEX_POINT('',#29285); +#8300=VERTEX_POINT('',#29287); +#8301=VERTEX_POINT('',#29291); +#8302=VERTEX_POINT('',#29293); +#8303=VERTEX_POINT('',#29297); +#8304=VERTEX_POINT('',#29299); +#8305=VERTEX_POINT('',#29303); +#8306=VERTEX_POINT('',#29305); +#8307=VERTEX_POINT('',#29307); +#8308=VERTEX_POINT('',#29309); +#8309=VERTEX_POINT('',#29311); +#8310=VERTEX_POINT('',#29313); +#8311=VERTEX_POINT('',#29317); +#8312=VERTEX_POINT('',#29318); +#8313=VERTEX_POINT('',#29320); +#8314=VERTEX_POINT('',#29323); +#8315=VERTEX_POINT('',#29325); +#8316=VERTEX_POINT('',#29327); +#8317=VERTEX_POINT('',#29331); +#8318=VERTEX_POINT('',#29335); +#8319=VERTEX_POINT('',#29339); +#8320=VERTEX_POINT('',#29343); +#8321=VERTEX_POINT('',#29347); +#8322=VERTEX_POINT('',#29351); +#8323=VERTEX_POINT('',#29355); +#8324=VERTEX_POINT('',#29357); +#8325=VERTEX_POINT('',#29361); +#8326=VERTEX_POINT('',#29363); +#8327=VERTEX_POINT('',#29367); +#8328=VERTEX_POINT('',#29371); +#8329=VERTEX_POINT('',#29375); +#8330=VERTEX_POINT('',#29379); +#8331=VERTEX_POINT('',#29383); +#8332=VERTEX_POINT('',#29387); +#8333=VERTEX_POINT('',#29391); +#8334=VERTEX_POINT('',#29395); +#8335=VERTEX_POINT('',#29399); +#8336=VERTEX_POINT('',#29400); +#8337=VERTEX_POINT('',#29402); +#8338=VERTEX_POINT('',#29404); +#8339=VERTEX_POINT('',#29406); +#8340=VERTEX_POINT('',#29409); +#8341=VERTEX_POINT('',#29413); +#8342=VERTEX_POINT('',#29415); +#8343=VERTEX_POINT('',#29417); +#8344=VERTEX_POINT('',#29419); +#8345=VERTEX_POINT('',#29421); +#8346=VERTEX_POINT('',#29423); +#8347=VERTEX_POINT('',#29427); +#8348=VERTEX_POINT('',#29433); +#8349=VERTEX_POINT('',#29439); +#8350=VERTEX_POINT('',#29443); +#8351=VERTEX_POINT('',#29449); +#8352=VERTEX_POINT('',#29455); +#8353=VERTEX_POINT('',#29477); +#8354=VERTEX_POINT('',#29478); +#8355=VERTEX_POINT('',#29507); +#8356=VERTEX_POINT('',#29509); +#8357=VERTEX_POINT('',#29540); +#8358=VERTEX_POINT('',#29542); +#8359=VERTEX_POINT('',#29546); +#8360=VERTEX_POINT('',#29548); +#8361=VERTEX_POINT('',#29552); +#8362=VERTEX_POINT('',#29554); +#8363=VERTEX_POINT('',#29556); +#8364=VERTEX_POINT('',#29558); +#8365=VERTEX_POINT('',#29560); +#8366=VERTEX_POINT('',#29562); +#8367=VERTEX_POINT('',#29566); +#8368=VERTEX_POINT('',#29567); +#8369=VERTEX_POINT('',#29569); +#8370=VERTEX_POINT('',#29572); +#8371=VERTEX_POINT('',#29574); +#8372=VERTEX_POINT('',#29576); +#8373=VERTEX_POINT('',#29580); +#8374=VERTEX_POINT('',#29584); +#8375=VERTEX_POINT('',#29588); +#8376=VERTEX_POINT('',#29592); +#8377=VERTEX_POINT('',#29596); +#8378=VERTEX_POINT('',#29600); +#8379=VERTEX_POINT('',#29604); +#8380=VERTEX_POINT('',#29633); +#8381=VERTEX_POINT('',#29664); +#8382=VERTEX_POINT('',#29693); +#8383=VERTEX_POINT('',#29724); +#8384=VERTEX_POINT('',#29728); +#8385=VERTEX_POINT('',#29732); +#8386=VERTEX_POINT('',#29736); +#8387=VERTEX_POINT('',#29740); +#8388=VERTEX_POINT('',#29744); +#8389=VERTEX_POINT('',#29748); +#8390=VERTEX_POINT('',#29752); +#8391=VERTEX_POINT('',#29756); +#8392=VERTEX_POINT('',#29757); +#8393=VERTEX_POINT('',#29759); +#8394=VERTEX_POINT('',#29761); +#8395=VERTEX_POINT('',#29763); +#8396=VERTEX_POINT('',#29766); +#8397=VERTEX_POINT('',#29770); +#8398=VERTEX_POINT('',#29772); +#8399=VERTEX_POINT('',#29774); +#8400=VERTEX_POINT('',#29776); +#8401=VERTEX_POINT('',#29778); +#8402=VERTEX_POINT('',#29780); +#8403=VERTEX_POINT('',#29784); +#8404=VERTEX_POINT('',#29790); +#8405=VERTEX_POINT('',#29796); +#8406=VERTEX_POINT('',#29800); +#8407=VERTEX_POINT('',#29806); +#8408=VERTEX_POINT('',#29812); +#8409=VERTEX_POINT('',#29888); +#8410=VERTEX_POINT('',#29889); +#8411=VERTEX_POINT('',#29891); +#8412=VERTEX_POINT('',#29893); +#8413=VERTEX_POINT('',#29897); +#8414=VERTEX_POINT('',#29899); +#8415=VERTEX_POINT('',#29903); +#8416=VERTEX_POINT('',#29905); +#8417=VERTEX_POINT('',#29909); +#8418=VERTEX_POINT('',#29911); +#8419=VERTEX_POINT('',#29913); +#8420=VERTEX_POINT('',#29915); +#8421=VERTEX_POINT('',#29917); +#8422=VERTEX_POINT('',#29919); +#8423=VERTEX_POINT('',#29923); +#8424=VERTEX_POINT('',#29924); +#8425=VERTEX_POINT('',#29926); +#8426=VERTEX_POINT('',#29929); +#8427=VERTEX_POINT('',#29931); +#8428=VERTEX_POINT('',#29933); +#8429=VERTEX_POINT('',#29937); +#8430=VERTEX_POINT('',#29941); +#8431=VERTEX_POINT('',#29945); +#8432=VERTEX_POINT('',#29949); +#8433=VERTEX_POINT('',#29953); +#8434=VERTEX_POINT('',#29957); +#8435=VERTEX_POINT('',#29961); +#8436=VERTEX_POINT('',#29963); +#8437=VERTEX_POINT('',#29967); +#8438=VERTEX_POINT('',#29969); +#8439=VERTEX_POINT('',#29973); +#8440=VERTEX_POINT('',#29977); +#8441=VERTEX_POINT('',#29981); +#8442=VERTEX_POINT('',#29985); +#8443=VERTEX_POINT('',#29989); +#8444=VERTEX_POINT('',#29993); +#8445=VERTEX_POINT('',#29997); +#8446=VERTEX_POINT('',#30001); +#8447=VERTEX_POINT('',#30005); +#8448=VERTEX_POINT('',#30006); +#8449=VERTEX_POINT('',#30008); +#8450=VERTEX_POINT('',#30010); +#8451=VERTEX_POINT('',#30012); +#8452=VERTEX_POINT('',#30015); +#8453=VERTEX_POINT('',#30019); +#8454=VERTEX_POINT('',#30021); +#8455=VERTEX_POINT('',#30023); +#8456=VERTEX_POINT('',#30025); +#8457=VERTEX_POINT('',#30027); +#8458=VERTEX_POINT('',#30029); +#8459=VERTEX_POINT('',#30033); +#8460=VERTEX_POINT('',#30039); +#8461=VERTEX_POINT('',#30045); +#8462=VERTEX_POINT('',#30049); +#8463=VERTEX_POINT('',#30055); +#8464=VERTEX_POINT('',#30061); +#8465=VERTEX_POINT('',#30083); +#8466=VERTEX_POINT('',#30084); +#8467=VERTEX_POINT('',#30086); +#8468=VERTEX_POINT('',#30088); +#8469=VERTEX_POINT('',#30092); +#8470=VERTEX_POINT('',#30093); +#8471=VERTEX_POINT('',#30095); +#8472=VERTEX_POINT('',#30097); +#8473=VERTEX_POINT('',#30099); +#8474=VERTEX_POINT('',#30101); +#8475=VERTEX_POINT('',#30103); +#8476=VERTEX_POINT('',#30105); +#8477=VERTEX_POINT('',#30107); +#8478=VERTEX_POINT('',#30109); +#8479=VERTEX_POINT('',#30111); +#8480=VERTEX_POINT('',#30113); +#8481=VERTEX_POINT('',#30117); +#8482=VERTEX_POINT('',#30118); +#8483=VERTEX_POINT('',#30120); +#8484=VERTEX_POINT('',#30122); +#8485=VERTEX_POINT('',#30126); +#8486=VERTEX_POINT('',#30127); +#8487=VERTEX_POINT('',#30129); +#8488=VERTEX_POINT('',#30131); +#8489=VERTEX_POINT('',#30133); +#8490=VERTEX_POINT('',#30135); +#8491=VERTEX_POINT('',#30137); +#8492=VERTEX_POINT('',#30139); +#8493=VERTEX_POINT('',#30143); +#8494=VERTEX_POINT('',#30144); +#8495=VERTEX_POINT('',#30146); +#8496=VERTEX_POINT('',#30148); +#8497=VERTEX_POINT('',#30152); +#8498=VERTEX_POINT('',#30154); +#8499=VERTEX_POINT('',#30158); +#8500=VERTEX_POINT('',#30159); +#8501=VERTEX_POINT('',#30162); +#8502=VERTEX_POINT('',#30164); +#8503=VERTEX_POINT('',#30169); +#8504=VERTEX_POINT('',#30170); +#8505=VERTEX_POINT('',#30175); +#8506=VERTEX_POINT('',#30176); +#8507=VERTEX_POINT('',#30179); +#8508=VERTEX_POINT('',#30181); +#8509=VERTEX_POINT('',#30184); +#8510=VERTEX_POINT('',#30186); +#8511=VERTEX_POINT('',#30191); +#8512=VERTEX_POINT('',#30192); +#8513=VERTEX_POINT('',#30194); +#8514=VERTEX_POINT('',#30196); +#8515=VERTEX_POINT('',#30200); +#8516=VERTEX_POINT('',#30201); +#8517=VERTEX_POINT('',#30203); +#8518=VERTEX_POINT('',#30205); +#8519=VERTEX_POINT('',#30209); +#8520=VERTEX_POINT('',#30211); +#8521=VERTEX_POINT('',#30215); +#8522=VERTEX_POINT('',#30216); +#8523=VERTEX_POINT('',#30218); +#8524=VERTEX_POINT('',#30220); +#8525=VERTEX_POINT('',#30224); +#8526=VERTEX_POINT('',#30226); +#8527=VERTEX_POINT('',#30230); +#8528=VERTEX_POINT('',#30231); +#8529=VERTEX_POINT('',#30233); +#8530=VERTEX_POINT('',#30235); +#8531=VERTEX_POINT('',#30239); +#8532=VERTEX_POINT('',#30241); +#8533=VERTEX_POINT('',#30311); +#8534=VERTEX_POINT('',#30312); +#8535=VERTEX_POINT('',#30314); +#8536=VERTEX_POINT('',#30316); +#8537=VERTEX_POINT('',#30320); +#8538=VERTEX_POINT('',#30322); +#8539=VERTEX_POINT('',#30326); +#8540=VERTEX_POINT('',#30327); +#8541=VERTEX_POINT('',#30329); +#8542=VERTEX_POINT('',#30331); +#8543=VERTEX_POINT('',#30335); +#8544=VERTEX_POINT('',#30337); +#8545=VERTEX_POINT('',#30341); +#8546=VERTEX_POINT('',#30342); +#8547=VERTEX_POINT('',#30344); +#8548=VERTEX_POINT('',#30346); +#8549=VERTEX_POINT('',#30350); +#8550=VERTEX_POINT('',#30352); +#8551=VERTEX_POINT('',#30370); +#8552=VERTEX_POINT('',#30371); +#8553=VERTEX_POINT('',#30373); +#8554=VERTEX_POINT('',#30375); +#8555=VERTEX_POINT('',#30379); +#8556=VERTEX_POINT('',#30381); +#8557=VERTEX_POINT('',#30385); +#8558=VERTEX_POINT('',#30386); +#8559=VERTEX_POINT('',#30388); +#8560=VERTEX_POINT('',#30390); +#8561=VERTEX_POINT('',#30394); +#8562=VERTEX_POINT('',#30396); +#8563=VERTEX_POINT('',#30400); +#8564=VERTEX_POINT('',#30401); +#8565=VERTEX_POINT('',#30403); +#8566=VERTEX_POINT('',#30405); +#8567=VERTEX_POINT('',#30409); +#8568=VERTEX_POINT('',#30411); +#8569=VERTEX_POINT('',#30430); +#8570=VERTEX_POINT('',#30431); +#8571=VERTEX_POINT('',#30433); +#8572=VERTEX_POINT('',#30435); +#8573=VERTEX_POINT('',#30439); +#8574=VERTEX_POINT('',#30440); +#8575=VERTEX_POINT('',#30442); +#8576=VERTEX_POINT('',#30444); +#8577=VERTEX_POINT('',#30448); +#8578=VERTEX_POINT('',#30450); +#8579=VERTEX_POINT('',#30454); +#8580=VERTEX_POINT('',#30456); +#8581=VERTEX_POINT('',#30460); +#8582=VERTEX_POINT('',#30464); +#8583=VERTEX_POINT('',#30465); +#8584=VERTEX_POINT('',#30467); +#8585=VERTEX_POINT('',#30469); +#8586=VERTEX_POINT('',#30473); +#8587=VERTEX_POINT('',#30477); +#8588=VERTEX_POINT('',#30479); +#8589=VERTEX_POINT('',#30483); +#8590=VERTEX_POINT('',#30485); +#8591=VERTEX_POINT('',#30488); +#8592=VERTEX_POINT('',#30489); +#8593=VERTEX_POINT('',#30491); +#8594=VERTEX_POINT('',#30493); +#8595=VERTEX_POINT('',#30499); +#8596=VERTEX_POINT('',#30501); +#8597=VERTEX_POINT('',#30505); +#8598=VERTEX_POINT('',#30509); +#8599=VERTEX_POINT('',#30519); +#8600=VERTEX_POINT('',#30523); +#8601=VERTEX_POINT('',#30532); +#8602=VERTEX_POINT('',#30533); +#8603=VERTEX_POINT('',#30535); +#8604=VERTEX_POINT('',#30537); +#8605=VERTEX_POINT('',#30541); +#8606=VERTEX_POINT('',#30542); +#8607=VERTEX_POINT('',#30544); +#8608=VERTEX_POINT('',#30546); +#8609=VERTEX_POINT('',#30548); +#8610=VERTEX_POINT('',#30550); +#8611=VERTEX_POINT('',#30552); +#8612=VERTEX_POINT('',#30554); +#8613=VERTEX_POINT('',#30556); +#8614=VERTEX_POINT('',#30558); +#8615=VERTEX_POINT('',#30560); +#8616=VERTEX_POINT('',#30562); +#8617=VERTEX_POINT('',#30564); +#8618=VERTEX_POINT('',#30566); +#8619=VERTEX_POINT('',#30568); +#8620=VERTEX_POINT('',#30570); +#8621=VERTEX_POINT('',#30574); +#8622=VERTEX_POINT('',#30575); +#8623=VERTEX_POINT('',#30577); +#8624=VERTEX_POINT('',#30579); +#8625=VERTEX_POINT('',#30583); +#8626=VERTEX_POINT('',#30584); +#8627=VERTEX_POINT('',#30586); +#8628=VERTEX_POINT('',#30588); +#8629=VERTEX_POINT('',#30590); +#8630=VERTEX_POINT('',#30592); +#8631=VERTEX_POINT('',#30594); +#8632=VERTEX_POINT('',#30596); +#8633=VERTEX_POINT('',#30598); +#8634=VERTEX_POINT('',#30600); +#8635=VERTEX_POINT('',#30602); +#8636=VERTEX_POINT('',#30604); +#8637=VERTEX_POINT('',#30606); +#8638=VERTEX_POINT('',#30608); +#8639=VERTEX_POINT('',#30610); +#8640=VERTEX_POINT('',#30612); +#8641=VERTEX_POINT('',#30616); +#8642=VERTEX_POINT('',#30617); +#8643=VERTEX_POINT('',#30619); +#8644=VERTEX_POINT('',#30621); +#8645=VERTEX_POINT('',#30625); +#8646=VERTEX_POINT('',#30626); +#8647=VERTEX_POINT('',#30631); +#8648=VERTEX_POINT('',#30632); +#8649=VERTEX_POINT('',#30635); +#8650=VERTEX_POINT('',#30637); +#8651=VERTEX_POINT('',#30640); +#8652=VERTEX_POINT('',#30642); +#8653=VERTEX_POINT('',#30645); +#8654=VERTEX_POINT('',#30647); +#8655=VERTEX_POINT('',#30652); +#8656=VERTEX_POINT('',#30654); +#8657=VERTEX_POINT('',#30658); +#8658=VERTEX_POINT('',#30660); +#8659=VERTEX_POINT('',#30663); +#8660=VERTEX_POINT('',#30665); +#8661=VERTEX_POINT('',#30668); +#8662=VERTEX_POINT('',#30670); +#8663=VERTEX_POINT('',#30673); +#8664=VERTEX_POINT('',#30675); +#8665=VERTEX_POINT('',#30679); +#8666=VERTEX_POINT('',#30680); +#8667=VERTEX_POINT('',#30682); +#8668=VERTEX_POINT('',#30684); +#8669=VERTEX_POINT('',#30740); +#8670=VERTEX_POINT('',#30741); +#8671=VERTEX_POINT('',#30743); +#8672=VERTEX_POINT('',#30745); +#8673=VERTEX_POINT('',#30749); +#8674=VERTEX_POINT('',#30751); +#8675=VERTEX_POINT('',#30755); +#8676=VERTEX_POINT('',#30756); +#8677=VERTEX_POINT('',#30758); +#8678=VERTEX_POINT('',#30760); +#8679=VERTEX_POINT('',#30764); +#8680=VERTEX_POINT('',#30766); +#8681=VERTEX_POINT('',#30770); +#8682=VERTEX_POINT('',#30772); +#8683=VERTEX_POINT('',#30776); +#8684=VERTEX_POINT('',#30777); +#8685=VERTEX_POINT('',#30779); +#8686=VERTEX_POINT('',#30781); +#8687=VERTEX_POINT('',#30785); +#8688=VERTEX_POINT('',#30787); +#8689=VERTEX_POINT('',#30805); +#8690=VERTEX_POINT('',#30806); +#8691=VERTEX_POINT('',#30808); +#8692=VERTEX_POINT('',#30810); +#8693=VERTEX_POINT('',#30814); +#8694=VERTEX_POINT('',#30816); +#8695=VERTEX_POINT('',#30820); +#8696=VERTEX_POINT('',#30821); +#8697=VERTEX_POINT('',#30823); +#8698=VERTEX_POINT('',#30825); +#8699=VERTEX_POINT('',#30829); +#8700=VERTEX_POINT('',#30831); +#8701=VERTEX_POINT('',#30835); +#8702=VERTEX_POINT('',#30837); +#8703=VERTEX_POINT('',#30841); +#8704=VERTEX_POINT('',#30842); +#8705=VERTEX_POINT('',#30844); +#8706=VERTEX_POINT('',#30846); +#8707=VERTEX_POINT('',#30850); +#8708=VERTEX_POINT('',#30852); +#8709=VERTEX_POINT('',#30866); +#8710=VERTEX_POINT('',#30867); +#8711=VERTEX_POINT('',#30869); +#8712=VERTEX_POINT('',#30871); +#8713=VERTEX_POINT('',#30875); +#8714=VERTEX_POINT('',#30877); +#8715=VERTEX_POINT('',#30881); +#8716=VERTEX_POINT('',#30882); +#8717=VERTEX_POINT('',#30884); +#8718=VERTEX_POINT('',#30886); +#8719=VERTEX_POINT('',#30890); +#8720=VERTEX_POINT('',#30892); +#8721=VERTEX_POINT('',#30896); +#8722=VERTEX_POINT('',#30898); +#8723=VERTEX_POINT('',#30902); +#8724=VERTEX_POINT('',#30903); +#8725=VERTEX_POINT('',#30905); +#8726=VERTEX_POINT('',#30907); +#8727=VERTEX_POINT('',#30911); +#8728=VERTEX_POINT('',#30913); +#8729=VERTEX_POINT('',#30935); +#8730=VERTEX_POINT('',#30936); +#8731=VERTEX_POINT('',#30938); +#8732=VERTEX_POINT('',#30940); +#8733=VERTEX_POINT('',#30944); +#8734=VERTEX_POINT('',#30946); +#8735=VERTEX_POINT('',#30950); +#8736=VERTEX_POINT('',#30951); +#8737=VERTEX_POINT('',#30953); +#8738=VERTEX_POINT('',#30955); +#8739=VERTEX_POINT('',#30959); +#8740=VERTEX_POINT('',#30961); +#8741=VERTEX_POINT('',#30965); +#8742=VERTEX_POINT('',#30967); +#8743=VERTEX_POINT('',#30971); +#8744=VERTEX_POINT('',#30972); +#8745=VERTEX_POINT('',#30974); +#8746=VERTEX_POINT('',#30976); +#8747=VERTEX_POINT('',#30980); +#8748=VERTEX_POINT('',#30982); +#8749=VERTEX_POINT('',#31000); +#8750=VERTEX_POINT('',#31001); +#8751=VERTEX_POINT('',#31003); +#8752=VERTEX_POINT('',#31005); +#8753=VERTEX_POINT('',#31009); +#8754=VERTEX_POINT('',#31011); +#8755=VERTEX_POINT('',#31015); +#8756=VERTEX_POINT('',#31016); +#8757=VERTEX_POINT('',#31018); +#8758=VERTEX_POINT('',#31020); +#8759=VERTEX_POINT('',#31024); +#8760=VERTEX_POINT('',#31026); +#8761=VERTEX_POINT('',#31030); +#8762=VERTEX_POINT('',#31032); +#8763=VERTEX_POINT('',#31036); +#8764=VERTEX_POINT('',#31037); +#8765=VERTEX_POINT('',#31039); +#8766=VERTEX_POINT('',#31041); +#8767=VERTEX_POINT('',#31045); +#8768=VERTEX_POINT('',#31047); +#8769=VERTEX_POINT('',#31061); +#8770=VERTEX_POINT('',#31062); +#8771=VERTEX_POINT('',#31064); +#8772=VERTEX_POINT('',#31066); +#8773=VERTEX_POINT('',#31070); +#8774=VERTEX_POINT('',#31072); +#8775=VERTEX_POINT('',#31076); +#8776=VERTEX_POINT('',#31077); +#8777=VERTEX_POINT('',#31079); +#8778=VERTEX_POINT('',#31081); +#8779=VERTEX_POINT('',#31085); +#8780=VERTEX_POINT('',#31087); +#8781=VERTEX_POINT('',#31091); +#8782=VERTEX_POINT('',#31093); +#8783=VERTEX_POINT('',#31097); +#8784=VERTEX_POINT('',#31098); +#8785=VERTEX_POINT('',#31100); +#8786=VERTEX_POINT('',#31102); +#8787=VERTEX_POINT('',#31106); +#8788=VERTEX_POINT('',#31108); +#8789=VERTEX_POINT('',#31130); +#8790=VERTEX_POINT('',#31131); +#8791=VERTEX_POINT('',#31133); +#8792=VERTEX_POINT('',#31135); +#8793=VERTEX_POINT('',#31137); +#8794=VERTEX_POINT('',#31139); +#8795=VERTEX_POINT('',#31142); +#8796=VERTEX_POINT('',#31145); +#8797=VERTEX_POINT('',#31146); +#8798=VERTEX_POINT('',#31151); +#8799=VERTEX_POINT('',#31153); +#8800=VERTEX_POINT('',#31155); +#8801=VERTEX_POINT('',#31157); +#8802=VERTEX_POINT('',#31159); +#8803=VERTEX_POINT('',#31163); +#8804=VERTEX_POINT('',#31167); +#8805=VERTEX_POINT('',#31171); +#8806=VERTEX_POINT('',#31175); +#8807=VERTEX_POINT('',#31176); +#8808=VERTEX_POINT('',#31178); +#8809=VERTEX_POINT('',#31180); +#8810=VERTEX_POINT('',#31185); +#8811=VERTEX_POINT('',#31186); +#8812=VERTEX_POINT('',#31188); +#8813=VERTEX_POINT('',#31190); +#8814=VERTEX_POINT('',#31194); +#8815=VERTEX_POINT('',#31195); +#8816=VERTEX_POINT('',#31197); +#8817=VERTEX_POINT('',#31199); +#8818=VERTEX_POINT('',#31203); +#8819=VERTEX_POINT('',#31206); +#8820=VERTEX_POINT('',#31207); +#8821=VERTEX_POINT('',#31209); +#8822=VERTEX_POINT('',#31211); +#8823=VERTEX_POINT('',#31213); +#8824=VERTEX_POINT('',#31215); +#8825=VERTEX_POINT('',#31218); +#8826=VERTEX_POINT('',#31221); +#8827=VERTEX_POINT('',#31222); +#8828=VERTEX_POINT('',#31227); +#8829=VERTEX_POINT('',#31228); +#8830=VERTEX_POINT('',#31230); +#8831=VERTEX_POINT('',#31232); +#8832=VERTEX_POINT('',#31236); +#8833=VERTEX_POINT('',#31237); +#8834=VERTEX_POINT('',#31239); +#8835=VERTEX_POINT('',#31241); +#8836=VERTEX_POINT('',#31245); +#8837=VERTEX_POINT('',#31248); +#8838=VERTEX_POINT('',#31249); +#8839=VERTEX_POINT('',#31251); +#8840=VERTEX_POINT('',#31253); +#8841=VERTEX_POINT('',#31257); +#8842=VERTEX_POINT('',#31258); +#8843=VERTEX_POINT('',#31260); +#8844=VERTEX_POINT('',#31262); +#8845=VERTEX_POINT('',#31266); +#8846=VERTEX_POINT('',#31269); +#8847=VERTEX_POINT('',#31270); +#8848=VERTEX_POINT('',#31272); +#8849=VERTEX_POINT('',#31274); +#8850=VERTEX_POINT('',#31278); +#8851=VERTEX_POINT('',#31279); +#8852=VERTEX_POINT('',#31281); +#8853=VERTEX_POINT('',#31283); +#8854=VERTEX_POINT('',#31287); +#8855=VERTEX_POINT('',#31290); +#8856=VERTEX_POINT('',#31291); +#8857=VERTEX_POINT('',#31293); +#8858=VERTEX_POINT('',#31295); +#8859=VERTEX_POINT('',#31299); +#8860=VERTEX_POINT('',#31300); +#8861=VERTEX_POINT('',#31302); +#8862=VERTEX_POINT('',#31304); +#8863=VERTEX_POINT('',#31308); +#8864=VERTEX_POINT('',#31311); +#8865=VERTEX_POINT('',#31312); +#8866=VERTEX_POINT('',#31314); +#8867=VERTEX_POINT('',#31316); +#8868=VERTEX_POINT('',#31318); +#8869=VERTEX_POINT('',#31320); +#8870=VERTEX_POINT('',#31323); +#8871=VERTEX_POINT('',#31326); +#8872=VERTEX_POINT('',#31327); +#8873=VERTEX_POINT('',#31332); +#8874=VERTEX_POINT('',#31333); +#8875=VERTEX_POINT('',#31335); +#8876=VERTEX_POINT('',#31337); +#8877=VERTEX_POINT('',#31341); +#8878=VERTEX_POINT('',#31342); +#8879=VERTEX_POINT('',#31344); +#8880=VERTEX_POINT('',#31346); +#8881=VERTEX_POINT('',#31350); +#8882=VERTEX_POINT('',#31353); +#8883=VERTEX_POINT('',#31354); +#8884=VERTEX_POINT('',#31356); +#8885=VERTEX_POINT('',#31358); +#8886=VERTEX_POINT('',#31360); +#8887=VERTEX_POINT('',#31362); +#8888=VERTEX_POINT('',#31365); +#8889=VERTEX_POINT('',#31368); +#8890=VERTEX_POINT('',#31369); +#8891=VERTEX_POINT('',#31374); +#8892=VERTEX_POINT('',#31375); +#8893=VERTEX_POINT('',#31377); +#8894=VERTEX_POINT('',#31379); +#8895=VERTEX_POINT('',#31383); +#8896=VERTEX_POINT('',#31384); +#8897=VERTEX_POINT('',#31386); +#8898=VERTEX_POINT('',#31388); +#8899=VERTEX_POINT('',#31392); +#8900=VERTEX_POINT('',#31395); +#8901=VERTEX_POINT('',#31396); +#8902=VERTEX_POINT('',#31398); +#8903=VERTEX_POINT('',#31400); +#8904=VERTEX_POINT('',#31402); +#8905=VERTEX_POINT('',#31404); +#8906=VERTEX_POINT('',#31407); +#8907=VERTEX_POINT('',#31410); +#8908=VERTEX_POINT('',#31411); +#8909=VERTEX_POINT('',#31416); +#8910=VERTEX_POINT('',#31417); +#8911=VERTEX_POINT('',#31419); +#8912=VERTEX_POINT('',#31421); +#8913=VERTEX_POINT('',#31425); +#8914=VERTEX_POINT('',#31426); +#8915=VERTEX_POINT('',#31428); +#8916=VERTEX_POINT('',#31430); +#8917=VERTEX_POINT('',#31434); +#8918=VERTEX_POINT('',#31437); +#8919=VERTEX_POINT('',#31438); +#8920=VERTEX_POINT('',#31440); +#8921=VERTEX_POINT('',#31442); +#8922=VERTEX_POINT('',#31444); +#8923=VERTEX_POINT('',#31446); +#8924=VERTEX_POINT('',#31449); +#8925=VERTEX_POINT('',#31452); +#8926=VERTEX_POINT('',#31453); +#8927=VERTEX_POINT('',#31458); +#8928=VERTEX_POINT('',#31459); +#8929=VERTEX_POINT('',#31461); +#8930=VERTEX_POINT('',#31463); +#8931=VERTEX_POINT('',#31465); +#8932=VERTEX_POINT('',#31467); +#8933=VERTEX_POINT('',#31470); +#8934=VERTEX_POINT('',#31473); +#8935=VERTEX_POINT('',#31474); +#8936=VERTEX_POINT('',#31479); +#8937=VERTEX_POINT('',#31480); +#8938=VERTEX_POINT('',#31482); +#8939=VERTEX_POINT('',#31484); +#8940=VERTEX_POINT('',#31488); +#8941=VERTEX_POINT('',#31489); +#8942=VERTEX_POINT('',#31491); +#8943=VERTEX_POINT('',#31493); +#8944=VERTEX_POINT('',#31497); +#8945=VERTEX_POINT('',#31500); +#8946=VERTEX_POINT('',#31502); +#8947=VERTEX_POINT('',#31504); +#8948=VERTEX_POINT('',#31506); +#8949=VERTEX_POINT('',#31508); +#8950=VERTEX_POINT('',#31512); +#8951=VERTEX_POINT('',#31514); +#8952=VERTEX_POINT('',#31516); +#8953=VERTEX_POINT('',#31518); +#8954=VERTEX_POINT('',#31520); +#8955=VERTEX_POINT('',#31524); +#8956=VERTEX_POINT('',#31528); +#8957=VERTEX_POINT('',#31532); +#8958=VERTEX_POINT('',#31536); +#8959=VERTEX_POINT('',#31538); +#8960=VERTEX_POINT('',#31540); +#8961=VERTEX_POINT('',#31542); +#8962=VERTEX_POINT('',#31544); +#8963=VERTEX_POINT('',#31548); +#8964=VERTEX_POINT('',#31550); +#8965=VERTEX_POINT('',#31552); +#8966=VERTEX_POINT('',#31554); +#8967=VERTEX_POINT('',#31556); +#8968=VERTEX_POINT('',#31560); +#8969=VERTEX_POINT('',#31562); +#8970=VERTEX_POINT('',#31564); +#8971=VERTEX_POINT('',#31566); +#8972=VERTEX_POINT('',#31568); +#8973=VERTEX_POINT('',#31572); +#8974=VERTEX_POINT('',#31574); +#8975=VERTEX_POINT('',#31576); +#8976=VERTEX_POINT('',#31578); +#8977=VERTEX_POINT('',#31580); +#8978=VERTEX_POINT('',#31584); +#8979=VERTEX_POINT('',#31586); +#8980=VERTEX_POINT('',#31588); +#8981=VERTEX_POINT('',#31590); +#8982=VERTEX_POINT('',#31592); +#8983=VERTEX_POINT('',#31596); +#8984=VERTEX_POINT('',#31600); +#8985=VERTEX_POINT('',#31604); +#8986=VERTEX_POINT('',#31608); +#8987=VERTEX_POINT('',#31610); +#8988=VERTEX_POINT('',#31612); +#8989=VERTEX_POINT('',#31614); +#8990=VERTEX_POINT('',#31616); +#8991=VERTEX_POINT('',#31620); +#8992=VERTEX_POINT('',#31622); +#8993=VERTEX_POINT('',#31624); +#8994=VERTEX_POINT('',#31626); +#8995=VERTEX_POINT('',#31628); +#8996=VERTEX_POINT('',#31632); +#8997=VERTEX_POINT('',#31636); +#8998=VERTEX_POINT('',#31640); +#8999=VERTEX_POINT('',#31644); +#9000=VERTEX_POINT('',#31646); +#9001=VERTEX_POINT('',#31648); +#9002=VERTEX_POINT('',#31650); +#9003=VERTEX_POINT('',#31652); +#9004=VERTEX_POINT('',#31656); +#9005=VERTEX_POINT('',#31658); +#9006=VERTEX_POINT('',#31660); +#9007=VERTEX_POINT('',#31662); +#9008=VERTEX_POINT('',#31664); +#9009=VERTEX_POINT('',#31668); +#9010=VERTEX_POINT('',#31672); +#9011=VERTEX_POINT('',#31676); +#9012=VERTEX_POINT('',#31680); +#9013=VERTEX_POINT('',#31682); +#9014=VERTEX_POINT('',#31684); +#9015=VERTEX_POINT('',#31686); +#9016=VERTEX_POINT('',#31688); +#9017=VERTEX_POINT('',#31692); +#9018=VERTEX_POINT('',#31694); +#9019=VERTEX_POINT('',#31696); +#9020=VERTEX_POINT('',#31698); +#9021=VERTEX_POINT('',#31700); +#9022=VERTEX_POINT('',#31704); +#9023=VERTEX_POINT('',#31708); +#9024=VERTEX_POINT('',#31712); +#9025=VERTEX_POINT('',#31716); +#9026=VERTEX_POINT('',#31718); +#9027=VERTEX_POINT('',#31720); +#9028=VERTEX_POINT('',#31722); +#9029=VERTEX_POINT('',#31724); +#9030=VERTEX_POINT('',#31728); +#9031=VERTEX_POINT('',#31732); +#9032=VERTEX_POINT('',#31736); +#9033=VERTEX_POINT('',#31740); +#9034=VERTEX_POINT('',#31743); +#9035=VERTEX_POINT('',#31746); +#9036=VERTEX_POINT('',#31749); +#9037=VERTEX_POINT('',#31752); +#9038=VERTEX_POINT('',#31755); +#9039=VERTEX_POINT('',#31758); +#9040=VERTEX_POINT('',#31761); +#9041=VERTEX_POINT('',#31763); +#9042=VERTEX_POINT('',#31765); +#9043=VERTEX_POINT('',#31768); +#9044=VERTEX_POINT('',#31771); +#9045=VERTEX_POINT('',#31774); +#9046=VERTEX_POINT('',#31777); +#9047=VERTEX_POINT('',#31780); +#9048=VERTEX_POINT('',#31783); +#9049=VERTEX_POINT('',#31786); +#9050=VERTEX_POINT('',#31789); +#9051=VERTEX_POINT('',#31791); +#9052=VERTEX_POINT('',#31793); +#9053=VERTEX_POINT('',#31797); +#9054=VERTEX_POINT('',#31799); +#9055=VERTEX_POINT('',#31801); +#9056=VERTEX_POINT('',#31803); +#9057=VERTEX_POINT('',#31807); +#9058=VERTEX_POINT('',#31811); +#9059=VERTEX_POINT('',#31813); +#9060=VERTEX_POINT('',#31817); +#9061=VERTEX_POINT('',#31819); +#9062=VERTEX_POINT('',#31823); +#9063=VERTEX_POINT('',#31825); +#9064=VERTEX_POINT('',#31829); +#9065=VERTEX_POINT('',#31831); +#9066=VERTEX_POINT('',#31835); +#9067=VERTEX_POINT('',#31839); +#9068=VERTEX_POINT('',#31841); +#9069=VERTEX_POINT('',#31845); +#9070=VERTEX_POINT('',#31849); +#9071=VERTEX_POINT('',#31853); +#9072=VERTEX_POINT('',#31857); +#9073=VERTEX_POINT('',#31859); +#9074=VERTEX_POINT('',#31863); +#9075=VERTEX_POINT('',#31867); +#9076=VERTEX_POINT('',#31871); +#9077=VERTEX_POINT('',#31875); +#9078=VERTEX_POINT('',#31879); +#9079=VERTEX_POINT('',#31883); +#9080=VERTEX_POINT('',#31887); +#9081=VERTEX_POINT('',#31891); +#9082=VERTEX_POINT('',#31893); +#9083=VERTEX_POINT('',#31897); +#9084=VERTEX_POINT('',#31901); +#9085=VERTEX_POINT('',#31905); +#9086=VERTEX_POINT('',#31907); +#9087=VERTEX_POINT('',#31911); +#9088=VERTEX_POINT('',#31915); +#9089=VERTEX_POINT('',#31919); +#9090=VERTEX_POINT('',#31921); +#9091=VERTEX_POINT('',#31925); +#9092=VERTEX_POINT('',#31933); +#9093=VERTEX_POINT('',#31937); +#9094=VERTEX_POINT('',#31943); +#9095=VERTEX_POINT('',#31949); +#9096=VERTEX_POINT('',#31957); +#9097=VERTEX_POINT('',#31961); +#9098=VERTEX_POINT('',#31967); +#9099=VERTEX_POINT('',#31975); +#9100=VERTEX_POINT('',#31979); +#9101=VERTEX_POINT('',#31983); +#9102=VERTEX_POINT('',#31987); +#9103=VERTEX_POINT('',#31991); +#9104=VERTEX_POINT('',#31995); +#9105=VERTEX_POINT('',#31999); +#9106=VERTEX_POINT('',#32003); +#9107=VERTEX_POINT('',#32007); +#9108=VERTEX_POINT('',#32011); +#9109=VERTEX_POINT('',#32013); +#9110=VERTEX_POINT('',#32017); +#9111=VERTEX_POINT('',#32021); +#9112=VERTEX_POINT('',#32025); +#9113=VERTEX_POINT('',#32029); +#9114=VERTEX_POINT('',#32033); +#9115=VERTEX_POINT('',#32037); +#9116=VERTEX_POINT('',#32041); +#9117=VERTEX_POINT('',#32045); +#9118=VERTEX_POINT('',#32047); +#9119=VERTEX_POINT('',#32055); +#9120=VERTEX_POINT('',#32057); +#9121=VERTEX_POINT('',#32065); +#9122=VERTEX_POINT('',#32067); +#9123=VERTEX_POINT('',#32075); +#9124=VERTEX_POINT('',#32077); +#9125=VERTEX_POINT('',#32085); +#9126=VERTEX_POINT('',#32087); +#9127=VERTEX_POINT('',#32095); +#9128=VERTEX_POINT('',#32097); +#9129=VERTEX_POINT('',#32105); +#9130=VERTEX_POINT('',#32107); +#9131=VERTEX_POINT('',#32115); +#9132=VERTEX_POINT('',#32117); +#9133=VERTEX_POINT('',#32125); +#9134=VERTEX_POINT('',#32127); +#9135=VERTEX_POINT('',#32139); +#9136=VERTEX_POINT('',#32141); +#9137=VERTEX_POINT('',#32149); +#9138=VERTEX_POINT('',#32151); +#9139=VERTEX_POINT('',#32159); +#9140=VERTEX_POINT('',#32161); +#9141=VERTEX_POINT('',#32163); +#9142=VERTEX_POINT('',#32171); +#9143=VERTEX_POINT('',#32173); +#9144=VERTEX_POINT('',#32181); +#9145=VERTEX_POINT('',#32183); +#9146=VERTEX_POINT('',#32191); +#9147=VERTEX_POINT('',#32193); +#9148=VERTEX_POINT('',#32195); +#9149=EDGE_CURVE('',#7473,#7474,#3449,.T.); +#9150=EDGE_CURVE('',#7474,#7475,#3450,.T.); +#9151=EDGE_CURVE('',#7475,#7476,#3451,.T.); +#9152=EDGE_CURVE('',#7476,#7473,#3452,.T.); +#9153=EDGE_CURVE('',#7477,#7478,#3453,.T.); +#9154=EDGE_CURVE('',#7478,#7479,#3454,.T.); +#9155=EDGE_CURVE('',#7479,#7480,#3455,.T.); +#9156=EDGE_CURVE('',#7480,#7477,#3456,.T.); +#9157=EDGE_CURVE('',#7481,#7482,#3457,.T.); +#9158=EDGE_CURVE('',#7482,#7483,#3458,.T.); +#9159=EDGE_CURVE('',#7483,#7484,#3459,.T.); +#9160=EDGE_CURVE('',#7484,#7481,#3460,.T.); +#9161=EDGE_CURVE('',#7485,#7486,#3461,.T.); +#9162=EDGE_CURVE('',#7486,#7487,#3462,.T.); +#9163=EDGE_CURVE('',#7487,#7488,#3463,.T.); +#9164=EDGE_CURVE('',#7488,#7485,#3464,.T.); +#9165=EDGE_CURVE('',#7489,#7490,#3465,.T.); +#9166=EDGE_CURVE('',#7490,#7491,#3466,.T.); +#9167=EDGE_CURVE('',#7491,#7492,#3467,.T.); +#9168=EDGE_CURVE('',#7492,#7489,#3468,.T.); +#9169=EDGE_CURVE('',#7493,#7494,#3469,.T.); +#9170=EDGE_CURVE('',#7494,#7495,#3470,.T.); +#9171=EDGE_CURVE('',#7495,#7496,#3471,.T.); +#9172=EDGE_CURVE('',#7496,#7493,#3472,.T.); +#9173=EDGE_CURVE('',#7497,#7498,#3473,.T.); +#9174=EDGE_CURVE('',#7498,#7499,#3474,.T.); +#9175=EDGE_CURVE('',#7499,#7500,#3475,.T.); +#9176=EDGE_CURVE('',#7500,#7497,#3476,.T.); +#9177=EDGE_CURVE('',#7501,#7502,#3477,.T.); +#9178=EDGE_CURVE('',#7502,#7503,#3478,.T.); +#9179=EDGE_CURVE('',#7503,#7504,#3479,.T.); +#9180=EDGE_CURVE('',#7504,#7501,#3480,.T.); +#9181=EDGE_CURVE('',#7474,#7505,#3481,.T.); +#9182=EDGE_CURVE('',#7505,#7506,#3482,.T.); +#9183=EDGE_CURVE('',#7506,#7475,#3483,.T.); +#9184=EDGE_CURVE('',#7507,#7508,#3484,.T.); +#9185=EDGE_CURVE('',#7508,#7509,#3485,.T.); +#9186=EDGE_CURVE('',#7509,#7510,#3486,.T.); +#9187=EDGE_CURVE('',#7510,#7507,#3487,.T.); +#9188=EDGE_CURVE('',#7511,#7512,#3488,.T.); +#9189=EDGE_CURVE('',#7512,#7513,#3489,.T.); +#9190=EDGE_CURVE('',#7513,#7514,#3490,.T.); +#9191=EDGE_CURVE('',#7514,#7511,#3491,.T.); +#9192=EDGE_CURVE('',#7515,#7516,#3492,.T.); +#9193=EDGE_CURVE('',#7516,#7517,#3493,.T.); +#9194=EDGE_CURVE('',#7517,#7518,#3494,.T.); +#9195=EDGE_CURVE('',#7518,#7515,#3495,.T.); +#9196=EDGE_CURVE('',#7519,#7520,#3496,.T.); +#9197=EDGE_CURVE('',#7520,#7521,#3497,.T.); +#9198=EDGE_CURVE('',#7521,#7522,#3498,.T.); +#9199=EDGE_CURVE('',#7522,#7519,#3499,.T.); +#9200=EDGE_CURVE('',#7523,#7524,#3500,.T.); +#9201=EDGE_CURVE('',#7524,#7525,#3501,.T.); +#9202=EDGE_CURVE('',#7525,#7526,#3502,.T.); +#9203=EDGE_CURVE('',#7526,#7523,#3503,.T.); +#9204=EDGE_CURVE('',#7527,#7528,#3504,.T.); +#9205=EDGE_CURVE('',#7528,#7529,#3505,.T.); +#9206=EDGE_CURVE('',#7529,#7530,#3506,.T.); +#9207=EDGE_CURVE('',#7530,#7527,#3507,.T.); +#9208=EDGE_CURVE('',#7531,#7532,#3508,.T.); +#9209=EDGE_CURVE('',#7532,#7533,#3509,.T.); +#9210=EDGE_CURVE('',#7533,#7534,#3510,.T.); +#9211=EDGE_CURVE('',#7534,#7531,#3511,.T.); +#9212=EDGE_CURVE('',#7505,#7535,#3512,.T.); +#9213=EDGE_CURVE('',#7535,#7536,#3513,.T.); +#9214=EDGE_CURVE('',#7536,#7506,#3514,.T.); +#9215=EDGE_CURVE('',#7537,#7538,#3515,.T.); +#9216=EDGE_CURVE('',#7538,#7539,#3516,.T.); +#9217=EDGE_CURVE('',#7539,#7540,#3517,.T.); +#9218=EDGE_CURVE('',#7540,#7537,#3518,.T.); +#9219=EDGE_CURVE('',#7541,#7542,#3519,.T.); +#9220=EDGE_CURVE('',#7542,#7543,#3520,.T.); +#9221=EDGE_CURVE('',#7543,#7544,#3521,.T.); +#9222=EDGE_CURVE('',#7544,#7541,#3522,.T.); +#9223=EDGE_CURVE('',#7545,#7546,#3523,.T.); +#9224=EDGE_CURVE('',#7546,#7547,#3524,.T.); +#9225=EDGE_CURVE('',#7547,#7548,#3525,.T.); +#9226=EDGE_CURVE('',#7548,#7545,#3526,.T.); +#9227=EDGE_CURVE('',#7549,#7550,#3527,.T.); +#9228=EDGE_CURVE('',#7550,#7551,#3528,.T.); +#9229=EDGE_CURVE('',#7551,#7552,#3529,.T.); +#9230=EDGE_CURVE('',#7552,#7549,#3530,.T.); +#9231=EDGE_CURVE('',#7553,#7554,#3531,.T.); +#9232=EDGE_CURVE('',#7554,#7555,#3532,.T.); +#9233=EDGE_CURVE('',#7555,#7556,#3533,.T.); +#9234=EDGE_CURVE('',#7556,#7553,#3534,.T.); +#9235=EDGE_CURVE('',#7557,#7558,#3535,.T.); +#9236=EDGE_CURVE('',#7558,#7559,#3536,.T.); +#9237=EDGE_CURVE('',#7559,#7560,#3537,.T.); +#9238=EDGE_CURVE('',#7560,#7557,#3538,.T.); +#9239=EDGE_CURVE('',#7561,#7562,#3539,.T.); +#9240=EDGE_CURVE('',#7562,#7563,#3540,.T.); +#9241=EDGE_CURVE('',#7563,#7564,#3541,.T.); +#9242=EDGE_CURVE('',#7564,#7561,#3542,.T.); +#9243=EDGE_CURVE('',#7535,#7473,#3543,.T.); +#9244=EDGE_CURVE('',#7476,#7536,#3544,.T.); +#9245=EDGE_CURVE('',#7565,#7566,#3545,.T.); +#9246=EDGE_CURVE('',#7566,#7567,#3546,.T.); +#9247=EDGE_CURVE('',#7567,#7568,#3547,.T.); +#9248=EDGE_CURVE('',#7568,#7565,#3548,.T.); +#9249=EDGE_CURVE('',#7569,#7570,#3549,.T.); +#9250=EDGE_CURVE('',#7570,#7571,#3550,.T.); +#9251=EDGE_CURVE('',#7571,#7572,#3551,.T.); +#9252=EDGE_CURVE('',#7572,#7569,#3552,.T.); +#9253=EDGE_CURVE('',#7573,#7574,#3553,.T.); +#9254=EDGE_CURVE('',#7574,#7575,#3554,.T.); +#9255=EDGE_CURVE('',#7575,#7576,#3555,.T.); +#9256=EDGE_CURVE('',#7576,#7573,#3556,.T.); +#9257=EDGE_CURVE('',#7577,#7578,#3557,.T.); +#9258=EDGE_CURVE('',#7578,#7579,#3558,.T.); +#9259=EDGE_CURVE('',#7579,#7580,#3559,.T.); +#9260=EDGE_CURVE('',#7580,#7577,#3560,.T.); +#9261=EDGE_CURVE('',#7581,#7582,#3561,.T.); +#9262=EDGE_CURVE('',#7582,#7583,#3562,.T.); +#9263=EDGE_CURVE('',#7583,#7584,#3563,.T.); +#9264=EDGE_CURVE('',#7584,#7581,#3564,.T.); +#9265=EDGE_CURVE('',#7585,#7586,#3565,.T.); +#9266=EDGE_CURVE('',#7586,#7587,#3566,.T.); +#9267=EDGE_CURVE('',#7587,#7588,#3567,.T.); +#9268=EDGE_CURVE('',#7588,#7585,#3568,.T.); +#9269=EDGE_CURVE('',#7589,#7590,#3569,.T.); +#9270=EDGE_CURVE('',#7590,#7591,#3570,.T.); +#9271=EDGE_CURVE('',#7591,#7592,#3571,.T.); +#9272=EDGE_CURVE('',#7592,#7589,#3572,.T.); +#9273=EDGE_CURVE('',#7593,#7594,#1137,.T.); +#9274=EDGE_CURVE('',#7594,#7593,#1138,.T.); +#9275=EDGE_CURVE('',#7595,#7596,#1139,.T.); +#9276=EDGE_CURVE('',#7596,#7595,#1140,.T.); +#9277=EDGE_CURVE('',#7593,#7595,#1097,.T.); +#9278=EDGE_CURVE('',#7596,#7594,#1098,.T.); +#9279=EDGE_CURVE('',#7477,#7597,#3573,.T.); +#9280=EDGE_CURVE('',#7597,#7598,#3574,.T.); +#9281=EDGE_CURVE('',#7598,#7478,#3575,.T.); +#9282=EDGE_CURVE('',#7598,#7599,#3576,.T.); +#9283=EDGE_CURVE('',#7599,#7479,#3577,.T.); +#9284=EDGE_CURVE('',#7599,#7600,#3578,.T.); +#9285=EDGE_CURVE('',#7600,#7480,#3579,.T.); +#9286=EDGE_CURVE('',#7600,#7597,#3580,.T.); +#9287=EDGE_CURVE('',#7481,#7601,#3581,.T.); +#9288=EDGE_CURVE('',#7601,#7602,#3582,.T.); +#9289=EDGE_CURVE('',#7602,#7482,#3583,.T.); +#9290=EDGE_CURVE('',#7602,#7603,#3584,.T.); +#9291=EDGE_CURVE('',#7603,#7483,#3585,.T.); +#9292=EDGE_CURVE('',#7603,#7604,#3586,.T.); +#9293=EDGE_CURVE('',#7604,#7484,#3587,.T.); +#9294=EDGE_CURVE('',#7604,#7601,#3588,.T.); +#9295=EDGE_CURVE('',#7485,#7605,#3589,.T.); +#9296=EDGE_CURVE('',#7605,#7606,#3590,.T.); +#9297=EDGE_CURVE('',#7606,#7486,#3591,.T.); +#9298=EDGE_CURVE('',#7606,#7607,#3592,.T.); +#9299=EDGE_CURVE('',#7607,#7487,#3593,.T.); +#9300=EDGE_CURVE('',#7607,#7608,#3594,.T.); +#9301=EDGE_CURVE('',#7608,#7488,#3595,.T.); +#9302=EDGE_CURVE('',#7608,#7605,#3596,.T.); +#9303=EDGE_CURVE('',#7489,#7609,#3597,.T.); +#9304=EDGE_CURVE('',#7609,#7610,#3598,.T.); +#9305=EDGE_CURVE('',#7610,#7490,#3599,.T.); +#9306=EDGE_CURVE('',#7610,#7611,#3600,.T.); +#9307=EDGE_CURVE('',#7611,#7491,#3601,.T.); +#9308=EDGE_CURVE('',#7611,#7612,#3602,.T.); +#9309=EDGE_CURVE('',#7612,#7492,#3603,.T.); +#9310=EDGE_CURVE('',#7612,#7609,#3604,.T.); +#9311=EDGE_CURVE('',#7493,#7613,#3605,.T.); +#9312=EDGE_CURVE('',#7613,#7614,#3606,.T.); +#9313=EDGE_CURVE('',#7614,#7494,#3607,.T.); +#9314=EDGE_CURVE('',#7614,#7615,#3608,.T.); +#9315=EDGE_CURVE('',#7615,#7495,#3609,.T.); +#9316=EDGE_CURVE('',#7615,#7616,#3610,.T.); +#9317=EDGE_CURVE('',#7616,#7496,#3611,.T.); +#9318=EDGE_CURVE('',#7616,#7613,#3612,.T.); +#9319=EDGE_CURVE('',#7497,#7617,#3613,.T.); +#9320=EDGE_CURVE('',#7617,#7618,#3614,.T.); +#9321=EDGE_CURVE('',#7618,#7498,#3615,.T.); +#9322=EDGE_CURVE('',#7618,#7619,#3616,.T.); +#9323=EDGE_CURVE('',#7619,#7499,#3617,.T.); +#9324=EDGE_CURVE('',#7619,#7620,#3618,.T.); +#9325=EDGE_CURVE('',#7620,#7500,#3619,.T.); +#9326=EDGE_CURVE('',#7620,#7617,#3620,.T.); +#9327=EDGE_CURVE('',#7501,#7621,#3621,.T.); +#9328=EDGE_CURVE('',#7621,#7622,#3622,.T.); +#9329=EDGE_CURVE('',#7622,#7502,#3623,.T.); +#9330=EDGE_CURVE('',#7622,#7623,#3624,.T.); +#9331=EDGE_CURVE('',#7623,#7503,#3625,.T.); +#9332=EDGE_CURVE('',#7623,#7624,#3626,.T.); +#9333=EDGE_CURVE('',#7624,#7504,#3627,.T.); +#9334=EDGE_CURVE('',#7624,#7621,#3628,.T.); +#9335=EDGE_CURVE('',#7507,#7625,#3629,.T.); +#9336=EDGE_CURVE('',#7625,#7626,#3630,.T.); +#9337=EDGE_CURVE('',#7626,#7508,#3631,.T.); +#9338=EDGE_CURVE('',#7626,#7627,#3632,.T.); +#9339=EDGE_CURVE('',#7627,#7509,#3633,.T.); +#9340=EDGE_CURVE('',#7627,#7628,#3634,.T.); +#9341=EDGE_CURVE('',#7628,#7510,#3635,.T.); +#9342=EDGE_CURVE('',#7628,#7625,#3636,.T.); +#9343=EDGE_CURVE('',#7511,#7629,#3637,.T.); +#9344=EDGE_CURVE('',#7629,#7630,#3638,.T.); +#9345=EDGE_CURVE('',#7630,#7512,#3639,.T.); +#9346=EDGE_CURVE('',#7630,#7631,#3640,.T.); +#9347=EDGE_CURVE('',#7631,#7513,#3641,.T.); +#9348=EDGE_CURVE('',#7631,#7632,#3642,.T.); +#9349=EDGE_CURVE('',#7632,#7514,#3643,.T.); +#9350=EDGE_CURVE('',#7632,#7629,#3644,.T.); +#9351=EDGE_CURVE('',#7515,#7633,#3645,.T.); +#9352=EDGE_CURVE('',#7633,#7634,#3646,.T.); +#9353=EDGE_CURVE('',#7634,#7516,#3647,.T.); +#9354=EDGE_CURVE('',#7634,#7635,#3648,.T.); +#9355=EDGE_CURVE('',#7635,#7517,#3649,.T.); +#9356=EDGE_CURVE('',#7635,#7636,#3650,.T.); +#9357=EDGE_CURVE('',#7636,#7518,#3651,.T.); +#9358=EDGE_CURVE('',#7636,#7633,#3652,.T.); +#9359=EDGE_CURVE('',#7519,#7637,#3653,.T.); +#9360=EDGE_CURVE('',#7637,#7638,#3654,.T.); +#9361=EDGE_CURVE('',#7638,#7520,#3655,.T.); +#9362=EDGE_CURVE('',#7638,#7639,#3656,.T.); +#9363=EDGE_CURVE('',#7639,#7521,#3657,.T.); +#9364=EDGE_CURVE('',#7639,#7640,#3658,.T.); +#9365=EDGE_CURVE('',#7640,#7522,#3659,.T.); +#9366=EDGE_CURVE('',#7640,#7637,#3660,.T.); +#9367=EDGE_CURVE('',#7523,#7641,#3661,.T.); +#9368=EDGE_CURVE('',#7641,#7642,#3662,.T.); +#9369=EDGE_CURVE('',#7642,#7524,#3663,.T.); +#9370=EDGE_CURVE('',#7642,#7643,#3664,.T.); +#9371=EDGE_CURVE('',#7643,#7525,#3665,.T.); +#9372=EDGE_CURVE('',#7643,#7644,#3666,.T.); +#9373=EDGE_CURVE('',#7644,#7526,#3667,.T.); +#9374=EDGE_CURVE('',#7644,#7641,#3668,.T.); +#9375=EDGE_CURVE('',#7527,#7645,#3669,.T.); +#9376=EDGE_CURVE('',#7645,#7646,#3670,.T.); +#9377=EDGE_CURVE('',#7646,#7528,#3671,.T.); +#9378=EDGE_CURVE('',#7646,#7647,#3672,.T.); +#9379=EDGE_CURVE('',#7647,#7529,#3673,.T.); +#9380=EDGE_CURVE('',#7647,#7648,#3674,.T.); +#9381=EDGE_CURVE('',#7648,#7530,#3675,.T.); +#9382=EDGE_CURVE('',#7648,#7645,#3676,.T.); +#9383=EDGE_CURVE('',#7531,#7649,#3677,.T.); +#9384=EDGE_CURVE('',#7649,#7650,#3678,.T.); +#9385=EDGE_CURVE('',#7650,#7532,#3679,.T.); +#9386=EDGE_CURVE('',#7650,#7651,#3680,.T.); +#9387=EDGE_CURVE('',#7651,#7533,#3681,.T.); +#9388=EDGE_CURVE('',#7651,#7652,#3682,.T.); +#9389=EDGE_CURVE('',#7652,#7534,#3683,.T.); +#9390=EDGE_CURVE('',#7652,#7649,#3684,.T.); +#9391=EDGE_CURVE('',#7565,#7653,#3685,.T.); +#9392=EDGE_CURVE('',#7653,#7654,#3686,.T.); +#9393=EDGE_CURVE('',#7654,#7566,#3687,.T.); +#9394=EDGE_CURVE('',#7654,#7655,#3688,.T.); +#9395=EDGE_CURVE('',#7655,#7567,#3689,.T.); +#9396=EDGE_CURVE('',#7655,#7656,#3690,.T.); +#9397=EDGE_CURVE('',#7656,#7568,#3691,.T.); +#9398=EDGE_CURVE('',#7656,#7653,#3692,.T.); +#9399=EDGE_CURVE('',#7569,#7657,#3693,.T.); +#9400=EDGE_CURVE('',#7657,#7658,#3694,.T.); +#9401=EDGE_CURVE('',#7658,#7570,#3695,.T.); +#9402=EDGE_CURVE('',#7658,#7659,#3696,.T.); +#9403=EDGE_CURVE('',#7659,#7571,#3697,.T.); +#9404=EDGE_CURVE('',#7659,#7660,#3698,.T.); +#9405=EDGE_CURVE('',#7660,#7572,#3699,.T.); +#9406=EDGE_CURVE('',#7660,#7657,#3700,.T.); +#9407=EDGE_CURVE('',#7573,#7661,#3701,.T.); +#9408=EDGE_CURVE('',#7661,#7662,#3702,.T.); +#9409=EDGE_CURVE('',#7662,#7574,#3703,.T.); +#9410=EDGE_CURVE('',#7662,#7663,#3704,.T.); +#9411=EDGE_CURVE('',#7663,#7575,#3705,.T.); +#9412=EDGE_CURVE('',#7663,#7664,#3706,.T.); +#9413=EDGE_CURVE('',#7664,#7576,#3707,.T.); +#9414=EDGE_CURVE('',#7664,#7661,#3708,.T.); +#9415=EDGE_CURVE('',#7577,#7665,#3709,.T.); +#9416=EDGE_CURVE('',#7665,#7666,#3710,.T.); +#9417=EDGE_CURVE('',#7666,#7578,#3711,.T.); +#9418=EDGE_CURVE('',#7666,#7667,#3712,.T.); +#9419=EDGE_CURVE('',#7667,#7579,#3713,.T.); +#9420=EDGE_CURVE('',#7667,#7668,#3714,.T.); +#9421=EDGE_CURVE('',#7668,#7580,#3715,.T.); +#9422=EDGE_CURVE('',#7668,#7665,#3716,.T.); +#9423=EDGE_CURVE('',#7581,#7669,#3717,.T.); +#9424=EDGE_CURVE('',#7669,#7670,#3718,.T.); +#9425=EDGE_CURVE('',#7670,#7582,#3719,.T.); +#9426=EDGE_CURVE('',#7670,#7671,#3720,.T.); +#9427=EDGE_CURVE('',#7671,#7583,#3721,.T.); +#9428=EDGE_CURVE('',#7671,#7672,#3722,.T.); +#9429=EDGE_CURVE('',#7672,#7584,#3723,.T.); +#9430=EDGE_CURVE('',#7672,#7669,#3724,.T.); +#9431=EDGE_CURVE('',#7585,#7673,#3725,.T.); +#9432=EDGE_CURVE('',#7673,#7674,#3726,.T.); +#9433=EDGE_CURVE('',#7674,#7586,#3727,.T.); +#9434=EDGE_CURVE('',#7674,#7675,#3728,.T.); +#9435=EDGE_CURVE('',#7675,#7587,#3729,.T.); +#9436=EDGE_CURVE('',#7675,#7676,#3730,.T.); +#9437=EDGE_CURVE('',#7676,#7588,#3731,.T.); +#9438=EDGE_CURVE('',#7676,#7673,#3732,.T.); +#9439=EDGE_CURVE('',#7589,#7677,#3733,.T.); +#9440=EDGE_CURVE('',#7677,#7678,#3734,.T.); +#9441=EDGE_CURVE('',#7678,#7590,#3735,.T.); +#9442=EDGE_CURVE('',#7678,#7679,#3736,.T.); +#9443=EDGE_CURVE('',#7679,#7591,#3737,.T.); +#9444=EDGE_CURVE('',#7679,#7680,#3738,.T.); +#9445=EDGE_CURVE('',#7680,#7592,#3739,.T.); +#9446=EDGE_CURVE('',#7680,#7677,#3740,.T.); +#9447=EDGE_CURVE('',#7537,#7681,#3741,.T.); +#9448=EDGE_CURVE('',#7681,#7682,#3742,.T.); +#9449=EDGE_CURVE('',#7682,#7538,#3743,.T.); +#9450=EDGE_CURVE('',#7682,#7683,#3744,.T.); +#9451=EDGE_CURVE('',#7683,#7539,#3745,.T.); +#9452=EDGE_CURVE('',#7683,#7684,#3746,.T.); +#9453=EDGE_CURVE('',#7684,#7540,#3747,.T.); +#9454=EDGE_CURVE('',#7684,#7681,#3748,.T.); +#9455=EDGE_CURVE('',#7541,#7685,#3749,.T.); +#9456=EDGE_CURVE('',#7685,#7686,#3750,.T.); +#9457=EDGE_CURVE('',#7686,#7542,#3751,.T.); +#9458=EDGE_CURVE('',#7686,#7687,#3752,.T.); +#9459=EDGE_CURVE('',#7687,#7543,#3753,.T.); +#9460=EDGE_CURVE('',#7687,#7688,#3754,.T.); +#9461=EDGE_CURVE('',#7688,#7544,#3755,.T.); +#9462=EDGE_CURVE('',#7688,#7685,#3756,.T.); +#9463=EDGE_CURVE('',#7545,#7689,#3757,.T.); +#9464=EDGE_CURVE('',#7689,#7690,#3758,.T.); +#9465=EDGE_CURVE('',#7690,#7546,#3759,.T.); +#9466=EDGE_CURVE('',#7690,#7691,#3760,.T.); +#9467=EDGE_CURVE('',#7691,#7547,#3761,.T.); +#9468=EDGE_CURVE('',#7691,#7692,#3762,.T.); +#9469=EDGE_CURVE('',#7692,#7548,#3763,.T.); +#9470=EDGE_CURVE('',#7692,#7689,#3764,.T.); +#9471=EDGE_CURVE('',#7549,#7693,#3765,.T.); +#9472=EDGE_CURVE('',#7693,#7694,#3766,.T.); +#9473=EDGE_CURVE('',#7694,#7550,#3767,.T.); +#9474=EDGE_CURVE('',#7694,#7695,#3768,.T.); +#9475=EDGE_CURVE('',#7695,#7551,#3769,.T.); +#9476=EDGE_CURVE('',#7695,#7696,#3770,.T.); +#9477=EDGE_CURVE('',#7696,#7552,#3771,.T.); +#9478=EDGE_CURVE('',#7696,#7693,#3772,.T.); +#9479=EDGE_CURVE('',#7553,#7697,#3773,.T.); +#9480=EDGE_CURVE('',#7697,#7698,#3774,.T.); +#9481=EDGE_CURVE('',#7698,#7554,#3775,.T.); +#9482=EDGE_CURVE('',#7698,#7699,#3776,.T.); +#9483=EDGE_CURVE('',#7699,#7555,#3777,.T.); +#9484=EDGE_CURVE('',#7699,#7700,#3778,.T.); +#9485=EDGE_CURVE('',#7700,#7556,#3779,.T.); +#9486=EDGE_CURVE('',#7700,#7697,#3780,.T.); +#9487=EDGE_CURVE('',#7557,#7701,#3781,.T.); +#9488=EDGE_CURVE('',#7701,#7702,#3782,.T.); +#9489=EDGE_CURVE('',#7702,#7558,#3783,.T.); +#9490=EDGE_CURVE('',#7702,#7703,#3784,.T.); +#9491=EDGE_CURVE('',#7703,#7559,#3785,.T.); +#9492=EDGE_CURVE('',#7703,#7704,#3786,.T.); +#9493=EDGE_CURVE('',#7704,#7560,#3787,.T.); +#9494=EDGE_CURVE('',#7704,#7701,#3788,.T.); +#9495=EDGE_CURVE('',#7561,#7705,#3789,.T.); +#9496=EDGE_CURVE('',#7705,#7706,#3790,.T.); +#9497=EDGE_CURVE('',#7706,#7562,#3791,.T.); +#9498=EDGE_CURVE('',#7706,#7707,#3792,.T.); +#9499=EDGE_CURVE('',#7707,#7563,#3793,.T.); +#9500=EDGE_CURVE('',#7707,#7708,#3794,.T.); +#9501=EDGE_CURVE('',#7708,#7564,#3795,.T.); +#9502=EDGE_CURVE('',#7708,#7705,#3796,.T.); +#9503=EDGE_CURVE('',#7709,#7710,#3797,.T.); +#9504=EDGE_CURVE('',#7710,#7711,#3798,.T.); +#9505=EDGE_CURVE('',#7711,#7712,#3799,.T.); +#9506=EDGE_CURVE('',#7712,#7709,#3800,.T.); +#9507=EDGE_CURVE('',#7710,#7713,#3801,.T.); +#9508=EDGE_CURVE('',#7713,#7714,#3802,.T.); +#9509=EDGE_CURVE('',#7714,#7711,#3803,.T.); +#9510=EDGE_CURVE('',#7713,#7715,#3804,.T.); +#9511=EDGE_CURVE('',#7715,#7716,#3805,.T.); +#9512=EDGE_CURVE('',#7716,#7714,#3806,.T.); +#9513=EDGE_CURVE('',#7715,#7709,#3807,.T.); +#9514=EDGE_CURVE('',#7712,#7716,#3808,.T.); +#9515=EDGE_CURVE('',#7717,#7718,#3809,.T.); +#9516=EDGE_CURVE('',#7718,#7719,#3810,.T.); +#9517=EDGE_CURVE('',#7719,#7720,#3811,.T.); +#9518=EDGE_CURVE('',#7720,#7717,#3812,.T.); +#9519=EDGE_CURVE('',#7718,#7721,#3813,.T.); +#9520=EDGE_CURVE('',#7721,#7722,#3814,.T.); +#9521=EDGE_CURVE('',#7722,#7719,#3815,.T.); +#9522=EDGE_CURVE('',#7721,#7723,#3816,.T.); +#9523=EDGE_CURVE('',#7723,#7724,#3817,.T.); +#9524=EDGE_CURVE('',#7724,#7722,#3818,.T.); +#9525=EDGE_CURVE('',#7723,#7717,#3819,.T.); +#9526=EDGE_CURVE('',#7720,#7724,#3820,.T.); +#9527=EDGE_CURVE('',#7725,#7726,#3821,.T.); +#9528=EDGE_CURVE('',#7726,#7727,#3822,.T.); +#9529=EDGE_CURVE('',#7727,#7728,#3823,.T.); +#9530=EDGE_CURVE('',#7728,#7725,#3824,.T.); +#9531=EDGE_CURVE('',#7726,#7729,#3825,.T.); +#9532=EDGE_CURVE('',#7729,#7730,#3826,.T.); +#9533=EDGE_CURVE('',#7730,#7727,#3827,.T.); +#9534=EDGE_CURVE('',#7729,#7731,#3828,.T.); +#9535=EDGE_CURVE('',#7731,#7732,#3829,.T.); +#9536=EDGE_CURVE('',#7732,#7730,#3830,.T.); +#9537=EDGE_CURVE('',#7731,#7725,#3831,.T.); +#9538=EDGE_CURVE('',#7728,#7732,#3832,.T.); +#9539=EDGE_CURVE('',#7733,#7734,#3833,.T.); +#9540=EDGE_CURVE('',#7734,#7735,#3834,.T.); +#9541=EDGE_CURVE('',#7735,#7736,#3835,.T.); +#9542=EDGE_CURVE('',#7736,#7733,#3836,.T.); +#9543=EDGE_CURVE('',#7734,#7737,#3837,.T.); +#9544=EDGE_CURVE('',#7737,#7738,#3838,.T.); +#9545=EDGE_CURVE('',#7738,#7735,#3839,.T.); +#9546=EDGE_CURVE('',#7737,#7739,#3840,.T.); +#9547=EDGE_CURVE('',#7739,#7740,#3841,.T.); +#9548=EDGE_CURVE('',#7740,#7738,#3842,.T.); +#9549=EDGE_CURVE('',#7739,#7733,#3843,.T.); +#9550=EDGE_CURVE('',#7736,#7740,#3844,.T.); +#9551=EDGE_CURVE('',#7741,#7742,#3845,.T.); +#9552=EDGE_CURVE('',#7742,#7743,#3846,.T.); +#9553=EDGE_CURVE('',#7743,#7744,#3847,.T.); +#9554=EDGE_CURVE('',#7744,#7741,#3848,.T.); +#9555=EDGE_CURVE('',#7742,#7745,#3849,.T.); +#9556=EDGE_CURVE('',#7745,#7746,#3850,.T.); +#9557=EDGE_CURVE('',#7746,#7743,#3851,.T.); +#9558=EDGE_CURVE('',#7745,#7747,#3852,.T.); +#9559=EDGE_CURVE('',#7747,#7748,#3853,.T.); +#9560=EDGE_CURVE('',#7748,#7746,#3854,.T.); +#9561=EDGE_CURVE('',#7747,#7741,#3855,.T.); +#9562=EDGE_CURVE('',#7744,#7748,#3856,.T.); +#9563=EDGE_CURVE('',#7749,#7750,#3857,.T.); +#9564=EDGE_CURVE('',#7750,#7751,#3858,.T.); +#9565=EDGE_CURVE('',#7751,#7752,#3859,.T.); +#9566=EDGE_CURVE('',#7752,#7749,#3860,.T.); +#9567=EDGE_CURVE('',#7750,#7753,#3861,.T.); +#9568=EDGE_CURVE('',#7753,#7754,#3862,.T.); +#9569=EDGE_CURVE('',#7754,#7751,#3863,.T.); +#9570=EDGE_CURVE('',#7753,#7755,#3864,.T.); +#9571=EDGE_CURVE('',#7755,#7756,#3865,.T.); +#9572=EDGE_CURVE('',#7756,#7754,#3866,.T.); +#9573=EDGE_CURVE('',#7755,#7749,#3867,.T.); +#9574=EDGE_CURVE('',#7752,#7756,#3868,.T.); +#9575=EDGE_CURVE('',#7757,#7758,#3869,.T.); +#9576=EDGE_CURVE('',#7758,#7759,#3870,.T.); +#9577=EDGE_CURVE('',#7759,#7760,#3871,.T.); +#9578=EDGE_CURVE('',#7760,#7757,#3872,.T.); +#9579=EDGE_CURVE('',#7758,#7761,#3873,.T.); +#9580=EDGE_CURVE('',#7761,#7762,#3874,.T.); +#9581=EDGE_CURVE('',#7762,#7759,#3875,.T.); +#9582=EDGE_CURVE('',#7761,#7763,#3876,.T.); +#9583=EDGE_CURVE('',#7763,#7764,#3877,.T.); +#9584=EDGE_CURVE('',#7764,#7762,#3878,.T.); +#9585=EDGE_CURVE('',#7763,#7757,#3879,.T.); +#9586=EDGE_CURVE('',#7760,#7764,#3880,.T.); +#9587=EDGE_CURVE('',#7765,#7766,#3881,.T.); +#9588=EDGE_CURVE('',#7766,#7767,#3882,.T.); +#9589=EDGE_CURVE('',#7767,#7768,#3883,.T.); +#9590=EDGE_CURVE('',#7768,#7765,#3884,.T.); +#9591=EDGE_CURVE('',#7766,#7769,#3885,.T.); +#9592=EDGE_CURVE('',#7769,#7770,#3886,.T.); +#9593=EDGE_CURVE('',#7770,#7767,#3887,.T.); +#9594=EDGE_CURVE('',#7769,#7771,#3888,.T.); +#9595=EDGE_CURVE('',#7771,#7772,#3889,.T.); +#9596=EDGE_CURVE('',#7772,#7770,#3890,.T.); +#9597=EDGE_CURVE('',#7771,#7765,#3891,.T.); +#9598=EDGE_CURVE('',#7768,#7772,#3892,.T.); +#9599=EDGE_CURVE('',#7773,#7774,#3893,.T.); +#9600=EDGE_CURVE('',#7774,#7775,#3894,.T.); +#9601=EDGE_CURVE('',#7775,#7776,#3895,.T.); +#9602=EDGE_CURVE('',#7776,#7773,#3896,.T.); +#9603=EDGE_CURVE('',#7774,#7777,#3897,.T.); +#9604=EDGE_CURVE('',#7777,#7778,#3898,.T.); +#9605=EDGE_CURVE('',#7778,#7775,#3899,.T.); +#9606=EDGE_CURVE('',#7777,#7779,#3900,.T.); +#9607=EDGE_CURVE('',#7779,#7780,#3901,.T.); +#9608=EDGE_CURVE('',#7780,#7778,#3902,.T.); +#9609=EDGE_CURVE('',#7779,#7773,#3903,.T.); +#9610=EDGE_CURVE('',#7776,#7780,#3904,.T.); +#9611=EDGE_CURVE('',#7781,#7782,#3905,.T.); +#9612=EDGE_CURVE('',#7782,#7783,#3906,.T.); +#9613=EDGE_CURVE('',#7783,#7784,#3907,.T.); +#9614=EDGE_CURVE('',#7784,#7781,#3908,.T.); +#9615=EDGE_CURVE('',#7782,#7785,#3909,.T.); +#9616=EDGE_CURVE('',#7785,#7786,#3910,.T.); +#9617=EDGE_CURVE('',#7786,#7783,#3911,.T.); +#9618=EDGE_CURVE('',#7785,#7787,#3912,.T.); +#9619=EDGE_CURVE('',#7787,#7788,#3913,.T.); +#9620=EDGE_CURVE('',#7788,#7786,#3914,.T.); +#9621=EDGE_CURVE('',#7787,#7781,#3915,.T.); +#9622=EDGE_CURVE('',#7784,#7788,#3916,.T.); +#9623=EDGE_CURVE('',#7789,#7790,#3917,.T.); +#9624=EDGE_CURVE('',#7790,#7791,#3918,.T.); +#9625=EDGE_CURVE('',#7791,#7792,#3919,.T.); +#9626=EDGE_CURVE('',#7792,#7789,#3920,.T.); +#9627=EDGE_CURVE('',#7790,#7793,#3921,.T.); +#9628=EDGE_CURVE('',#7793,#7794,#3922,.T.); +#9629=EDGE_CURVE('',#7794,#7791,#3923,.T.); +#9630=EDGE_CURVE('',#7793,#7795,#3924,.T.); +#9631=EDGE_CURVE('',#7795,#7796,#3925,.T.); +#9632=EDGE_CURVE('',#7796,#7794,#3926,.T.); +#9633=EDGE_CURVE('',#7795,#7789,#3927,.T.); +#9634=EDGE_CURVE('',#7792,#7796,#3928,.T.); +#9635=EDGE_CURVE('',#7797,#7798,#3929,.T.); +#9636=EDGE_CURVE('',#7798,#7799,#3930,.T.); +#9637=EDGE_CURVE('',#7799,#7800,#3931,.T.); +#9638=EDGE_CURVE('',#7800,#7797,#3932,.T.); +#9639=EDGE_CURVE('',#7798,#7801,#3933,.T.); +#9640=EDGE_CURVE('',#7801,#7802,#3934,.T.); +#9641=EDGE_CURVE('',#7802,#7799,#3935,.T.); +#9642=EDGE_CURVE('',#7801,#7803,#3936,.T.); +#9643=EDGE_CURVE('',#7803,#7804,#3937,.T.); +#9644=EDGE_CURVE('',#7804,#7802,#3938,.T.); +#9645=EDGE_CURVE('',#7803,#7797,#3939,.T.); +#9646=EDGE_CURVE('',#7800,#7804,#3940,.T.); +#9647=EDGE_CURVE('',#7805,#7806,#3941,.T.); +#9648=EDGE_CURVE('',#7806,#7807,#3942,.T.); +#9649=EDGE_CURVE('',#7807,#7808,#3943,.T.); +#9650=EDGE_CURVE('',#7808,#7805,#3944,.T.); +#9651=EDGE_CURVE('',#7806,#7809,#3945,.T.); +#9652=EDGE_CURVE('',#7809,#7810,#3946,.T.); +#9653=EDGE_CURVE('',#7810,#7807,#3947,.T.); +#9654=EDGE_CURVE('',#7809,#7811,#3948,.T.); +#9655=EDGE_CURVE('',#7811,#7812,#3949,.T.); +#9656=EDGE_CURVE('',#7812,#7810,#3950,.T.); +#9657=EDGE_CURVE('',#7811,#7805,#3951,.T.); +#9658=EDGE_CURVE('',#7808,#7812,#3952,.T.); +#9659=EDGE_CURVE('',#7813,#7814,#3953,.T.); +#9660=EDGE_CURVE('',#7814,#7815,#3954,.T.); +#9661=EDGE_CURVE('',#7815,#7816,#3955,.T.); +#9662=EDGE_CURVE('',#7816,#7813,#3956,.T.); +#9663=EDGE_CURVE('',#7814,#7817,#3957,.T.); +#9664=EDGE_CURVE('',#7817,#7818,#3958,.T.); +#9665=EDGE_CURVE('',#7818,#7815,#3959,.T.); +#9666=EDGE_CURVE('',#7817,#7819,#3960,.T.); +#9667=EDGE_CURVE('',#7819,#7820,#3961,.T.); +#9668=EDGE_CURVE('',#7820,#7818,#3962,.T.); +#9669=EDGE_CURVE('',#7819,#7813,#3963,.T.); +#9670=EDGE_CURVE('',#7816,#7820,#3964,.T.); +#9671=EDGE_CURVE('',#7821,#7822,#3965,.T.); +#9672=EDGE_CURVE('',#7822,#7823,#3966,.T.); +#9673=EDGE_CURVE('',#7823,#7824,#3967,.T.); +#9674=EDGE_CURVE('',#7824,#7821,#3968,.T.); +#9675=EDGE_CURVE('',#7822,#7825,#3969,.T.); +#9676=EDGE_CURVE('',#7825,#7826,#3970,.T.); +#9677=EDGE_CURVE('',#7826,#7823,#3971,.T.); +#9678=EDGE_CURVE('',#7825,#7827,#3972,.T.); +#9679=EDGE_CURVE('',#7827,#7828,#3973,.T.); +#9680=EDGE_CURVE('',#7828,#7826,#3974,.T.); +#9681=EDGE_CURVE('',#7827,#7821,#3975,.T.); +#9682=EDGE_CURVE('',#7824,#7828,#3976,.T.); +#9683=EDGE_CURVE('',#7829,#7830,#3977,.T.); +#9684=EDGE_CURVE('',#7830,#7831,#3978,.T.); +#9685=EDGE_CURVE('',#7831,#7832,#3979,.T.); +#9686=EDGE_CURVE('',#7832,#7829,#3980,.T.); +#9687=EDGE_CURVE('',#7830,#7833,#3981,.T.); +#9688=EDGE_CURVE('',#7833,#7834,#3982,.T.); +#9689=EDGE_CURVE('',#7834,#7831,#3983,.T.); +#9690=EDGE_CURVE('',#7833,#7835,#3984,.T.); +#9691=EDGE_CURVE('',#7835,#7836,#3985,.T.); +#9692=EDGE_CURVE('',#7836,#7834,#3986,.T.); +#9693=EDGE_CURVE('',#7835,#7829,#3987,.T.); +#9694=EDGE_CURVE('',#7832,#7836,#3988,.T.); +#9695=EDGE_CURVE('',#7837,#7838,#3989,.T.); +#9696=EDGE_CURVE('',#7838,#7839,#3990,.T.); +#9697=EDGE_CURVE('',#7839,#7840,#3991,.T.); +#9698=EDGE_CURVE('',#7840,#7837,#3992,.T.); +#9699=EDGE_CURVE('',#7838,#7841,#3993,.T.); +#9700=EDGE_CURVE('',#7841,#7842,#3994,.T.); +#9701=EDGE_CURVE('',#7842,#7839,#3995,.T.); +#9702=EDGE_CURVE('',#7841,#7843,#3996,.T.); +#9703=EDGE_CURVE('',#7843,#7844,#3997,.T.); +#9704=EDGE_CURVE('',#7844,#7842,#3998,.T.); +#9705=EDGE_CURVE('',#7843,#7837,#3999,.T.); +#9706=EDGE_CURVE('',#7840,#7844,#4000,.T.); +#9707=EDGE_CURVE('',#7845,#7846,#4001,.T.); +#9708=EDGE_CURVE('',#7846,#7847,#4002,.T.); +#9709=EDGE_CURVE('',#7847,#7848,#4003,.T.); +#9710=EDGE_CURVE('',#7848,#7845,#4004,.T.); +#9711=EDGE_CURVE('',#7846,#7849,#4005,.T.); +#9712=EDGE_CURVE('',#7849,#7850,#4006,.T.); +#9713=EDGE_CURVE('',#7850,#7847,#4007,.T.); +#9714=EDGE_CURVE('',#7849,#7851,#4008,.T.); +#9715=EDGE_CURVE('',#7851,#7852,#4009,.T.); +#9716=EDGE_CURVE('',#7852,#7850,#4010,.T.); +#9717=EDGE_CURVE('',#7851,#7845,#4011,.T.); +#9718=EDGE_CURVE('',#7848,#7852,#4012,.T.); +#9719=EDGE_CURVE('',#7853,#7854,#4013,.T.); +#9720=EDGE_CURVE('',#7854,#7855,#4014,.T.); +#9721=EDGE_CURVE('',#7855,#7856,#4015,.T.); +#9722=EDGE_CURVE('',#7856,#7853,#4016,.T.); +#9723=EDGE_CURVE('',#7854,#7857,#4017,.T.); +#9724=EDGE_CURVE('',#7857,#7858,#4018,.T.); +#9725=EDGE_CURVE('',#7858,#7855,#4019,.T.); +#9726=EDGE_CURVE('',#7857,#7859,#4020,.T.); +#9727=EDGE_CURVE('',#7859,#7860,#4021,.T.); +#9728=EDGE_CURVE('',#7860,#7858,#4022,.T.); +#9729=EDGE_CURVE('',#7859,#7853,#4023,.T.); +#9730=EDGE_CURVE('',#7856,#7860,#4024,.T.); +#9731=EDGE_CURVE('',#7861,#7862,#4025,.T.); +#9732=EDGE_CURVE('',#7862,#7863,#4026,.T.); +#9733=EDGE_CURVE('',#7863,#7864,#4027,.T.); +#9734=EDGE_CURVE('',#7864,#7861,#4028,.T.); +#9735=EDGE_CURVE('',#7862,#7865,#4029,.T.); +#9736=EDGE_CURVE('',#7865,#7866,#4030,.T.); +#9737=EDGE_CURVE('',#7866,#7863,#4031,.T.); +#9738=EDGE_CURVE('',#7865,#7867,#4032,.T.); +#9739=EDGE_CURVE('',#7867,#7868,#4033,.T.); +#9740=EDGE_CURVE('',#7868,#7866,#4034,.T.); +#9741=EDGE_CURVE('',#7867,#7861,#4035,.T.); +#9742=EDGE_CURVE('',#7864,#7868,#4036,.T.); +#9743=EDGE_CURVE('',#7869,#7870,#4037,.T.); +#9744=EDGE_CURVE('',#7870,#7871,#4038,.T.); +#9745=EDGE_CURVE('',#7871,#7872,#4039,.T.); +#9746=EDGE_CURVE('',#7872,#7869,#4040,.T.); +#9747=EDGE_CURVE('',#7870,#7873,#4041,.T.); +#9748=EDGE_CURVE('',#7873,#7874,#4042,.T.); +#9749=EDGE_CURVE('',#7874,#7871,#4043,.T.); +#9750=EDGE_CURVE('',#7873,#7875,#4044,.T.); +#9751=EDGE_CURVE('',#7875,#7876,#4045,.T.); +#9752=EDGE_CURVE('',#7876,#7874,#4046,.T.); +#9753=EDGE_CURVE('',#7875,#7869,#4047,.T.); +#9754=EDGE_CURVE('',#7872,#7876,#4048,.T.); +#9755=EDGE_CURVE('',#7877,#7878,#4049,.T.); +#9756=EDGE_CURVE('',#7878,#7879,#4050,.T.); +#9757=EDGE_CURVE('',#7879,#7880,#4051,.T.); +#9758=EDGE_CURVE('',#7880,#7877,#4052,.T.); +#9759=EDGE_CURVE('',#7878,#7881,#4053,.T.); +#9760=EDGE_CURVE('',#7881,#7882,#4054,.T.); +#9761=EDGE_CURVE('',#7882,#7879,#4055,.T.); +#9762=EDGE_CURVE('',#7881,#7883,#4056,.T.); +#9763=EDGE_CURVE('',#7883,#7884,#4057,.T.); +#9764=EDGE_CURVE('',#7884,#7882,#4058,.T.); +#9765=EDGE_CURVE('',#7883,#7877,#4059,.T.); +#9766=EDGE_CURVE('',#7880,#7884,#4060,.T.); +#9767=EDGE_CURVE('',#7885,#7886,#4061,.T.); +#9768=EDGE_CURVE('',#7886,#7887,#4062,.T.); +#9769=EDGE_CURVE('',#7887,#7888,#4063,.T.); +#9770=EDGE_CURVE('',#7888,#7885,#4064,.T.); +#9771=EDGE_CURVE('',#7886,#7889,#4065,.T.); +#9772=EDGE_CURVE('',#7889,#7890,#4066,.T.); +#9773=EDGE_CURVE('',#7890,#7887,#4067,.T.); +#9774=EDGE_CURVE('',#7889,#7891,#4068,.T.); +#9775=EDGE_CURVE('',#7891,#7892,#4069,.T.); +#9776=EDGE_CURVE('',#7892,#7890,#4070,.T.); +#9777=EDGE_CURVE('',#7891,#7885,#4071,.T.); +#9778=EDGE_CURVE('',#7888,#7892,#4072,.T.); +#9779=EDGE_CURVE('',#7893,#7894,#4073,.T.); +#9780=EDGE_CURVE('',#7894,#7895,#4074,.T.); +#9781=EDGE_CURVE('',#7895,#7896,#4075,.T.); +#9782=EDGE_CURVE('',#7896,#7893,#4076,.T.); +#9783=EDGE_CURVE('',#7894,#7897,#4077,.T.); +#9784=EDGE_CURVE('',#7897,#7898,#4078,.T.); +#9785=EDGE_CURVE('',#7898,#7895,#4079,.T.); +#9786=EDGE_CURVE('',#7897,#7899,#4080,.T.); +#9787=EDGE_CURVE('',#7899,#7900,#4081,.T.); +#9788=EDGE_CURVE('',#7900,#7898,#4082,.T.); +#9789=EDGE_CURVE('',#7899,#7893,#4083,.T.); +#9790=EDGE_CURVE('',#7896,#7900,#4084,.T.); +#9791=EDGE_CURVE('',#7901,#7902,#4085,.T.); +#9792=EDGE_CURVE('',#7902,#7903,#4086,.T.); +#9793=EDGE_CURVE('',#7903,#7904,#4087,.T.); +#9794=EDGE_CURVE('',#7904,#7901,#4088,.T.); +#9795=EDGE_CURVE('',#7902,#7905,#4089,.T.); +#9796=EDGE_CURVE('',#7905,#7906,#4090,.T.); +#9797=EDGE_CURVE('',#7906,#7903,#4091,.T.); +#9798=EDGE_CURVE('',#7905,#7907,#4092,.T.); +#9799=EDGE_CURVE('',#7907,#7908,#4093,.T.); +#9800=EDGE_CURVE('',#7908,#7906,#4094,.T.); +#9801=EDGE_CURVE('',#7907,#7901,#4095,.T.); +#9802=EDGE_CURVE('',#7904,#7908,#4096,.T.); +#9803=EDGE_CURVE('',#7909,#7910,#4097,.T.); +#9804=EDGE_CURVE('',#7910,#7911,#4098,.T.); +#9805=EDGE_CURVE('',#7911,#7912,#4099,.T.); +#9806=EDGE_CURVE('',#7912,#7909,#4100,.T.); +#9807=EDGE_CURVE('',#7910,#7913,#4101,.T.); +#9808=EDGE_CURVE('',#7913,#7914,#4102,.T.); +#9809=EDGE_CURVE('',#7914,#7911,#4103,.T.); +#9810=EDGE_CURVE('',#7913,#7915,#4104,.T.); +#9811=EDGE_CURVE('',#7915,#7916,#4105,.T.); +#9812=EDGE_CURVE('',#7916,#7914,#4106,.T.); +#9813=EDGE_CURVE('',#7915,#7909,#4107,.T.); +#9814=EDGE_CURVE('',#7912,#7916,#4108,.T.); +#9815=EDGE_CURVE('',#7917,#7918,#4109,.T.); +#9816=EDGE_CURVE('',#7918,#7919,#4110,.T.); +#9817=EDGE_CURVE('',#7919,#7920,#4111,.T.); +#9818=EDGE_CURVE('',#7920,#7917,#4112,.T.); +#9819=EDGE_CURVE('',#7918,#7921,#4113,.T.); +#9820=EDGE_CURVE('',#7921,#7922,#4114,.T.); +#9821=EDGE_CURVE('',#7922,#7919,#4115,.T.); +#9822=EDGE_CURVE('',#7921,#7923,#4116,.T.); +#9823=EDGE_CURVE('',#7923,#7924,#4117,.T.); +#9824=EDGE_CURVE('',#7924,#7922,#4118,.T.); +#9825=EDGE_CURVE('',#7923,#7917,#4119,.T.); +#9826=EDGE_CURVE('',#7920,#7924,#4120,.T.); +#9827=EDGE_CURVE('',#7925,#7926,#4121,.T.); +#9828=EDGE_CURVE('',#7926,#7927,#4122,.T.); +#9829=EDGE_CURVE('',#7927,#7928,#4123,.T.); +#9830=EDGE_CURVE('',#7928,#7925,#4124,.T.); +#9831=EDGE_CURVE('',#7926,#7929,#4125,.T.); +#9832=EDGE_CURVE('',#7929,#7930,#4126,.T.); +#9833=EDGE_CURVE('',#7930,#7927,#4127,.T.); +#9834=EDGE_CURVE('',#7929,#7931,#4128,.T.); +#9835=EDGE_CURVE('',#7931,#7932,#4129,.T.); +#9836=EDGE_CURVE('',#7932,#7930,#4130,.T.); +#9837=EDGE_CURVE('',#7931,#7925,#4131,.T.); +#9838=EDGE_CURVE('',#7928,#7932,#4132,.T.); +#9839=EDGE_CURVE('',#7933,#7934,#4133,.T.); +#9840=EDGE_CURVE('',#7934,#7935,#4134,.T.); +#9841=EDGE_CURVE('',#7935,#7936,#4135,.T.); +#9842=EDGE_CURVE('',#7936,#7933,#4136,.T.); +#9843=EDGE_CURVE('',#7934,#7937,#4137,.T.); +#9844=EDGE_CURVE('',#7937,#7938,#4138,.T.); +#9845=EDGE_CURVE('',#7938,#7935,#4139,.T.); +#9846=EDGE_CURVE('',#7937,#7939,#4140,.T.); +#9847=EDGE_CURVE('',#7939,#7940,#4141,.T.); +#9848=EDGE_CURVE('',#7940,#7938,#4142,.T.); +#9849=EDGE_CURVE('',#7939,#7933,#234,.T.); +#9850=EDGE_CURVE('',#7936,#7940,#235,.T.); +#9851=EDGE_CURVE('',#7941,#7942,#4143,.T.); +#9852=EDGE_CURVE('',#7942,#7943,#4144,.T.); +#9853=EDGE_CURVE('',#7943,#7944,#4145,.T.); +#9854=EDGE_CURVE('',#7944,#7941,#4146,.T.); +#9855=EDGE_CURVE('',#7942,#7945,#4147,.T.); +#9856=EDGE_CURVE('',#7945,#7946,#4148,.T.); +#9857=EDGE_CURVE('',#7946,#7943,#4149,.T.); +#9858=EDGE_CURVE('',#7945,#7947,#4150,.T.); +#9859=EDGE_CURVE('',#7947,#7948,#4151,.T.); +#9860=EDGE_CURVE('',#7948,#7946,#4152,.T.); +#9861=EDGE_CURVE('',#7947,#7941,#236,.T.); +#9862=EDGE_CURVE('',#7944,#7948,#237,.T.); +#9863=EDGE_CURVE('',#7949,#7950,#4153,.T.); +#9864=EDGE_CURVE('',#7950,#7951,#4154,.T.); +#9865=EDGE_CURVE('',#7951,#7952,#4155,.T.); +#9866=EDGE_CURVE('',#7952,#7949,#4156,.T.); +#9867=EDGE_CURVE('',#7950,#7953,#4157,.T.); +#9868=EDGE_CURVE('',#7953,#7954,#4158,.T.); +#9869=EDGE_CURVE('',#7954,#7951,#4159,.T.); +#9870=EDGE_CURVE('',#7953,#7955,#4160,.T.); +#9871=EDGE_CURVE('',#7955,#7956,#4161,.T.); +#9872=EDGE_CURVE('',#7956,#7954,#4162,.T.); +#9873=EDGE_CURVE('',#7955,#7949,#238,.T.); +#9874=EDGE_CURVE('',#7952,#7956,#239,.T.); +#9875=EDGE_CURVE('',#7957,#7958,#4163,.T.); +#9876=EDGE_CURVE('',#7958,#7959,#4164,.T.); +#9877=EDGE_CURVE('',#7959,#7960,#4165,.T.); +#9878=EDGE_CURVE('',#7960,#7957,#4166,.T.); +#9879=EDGE_CURVE('',#7958,#7961,#4167,.T.); +#9880=EDGE_CURVE('',#7961,#7962,#4168,.T.); +#9881=EDGE_CURVE('',#7962,#7959,#4169,.T.); +#9882=EDGE_CURVE('',#7961,#7963,#4170,.T.); +#9883=EDGE_CURVE('',#7963,#7964,#4171,.T.); +#9884=EDGE_CURVE('',#7964,#7962,#4172,.T.); +#9885=EDGE_CURVE('',#7963,#7957,#240,.T.); +#9886=EDGE_CURVE('',#7960,#7964,#241,.T.); +#9887=EDGE_CURVE('',#7965,#7966,#4173,.T.); +#9888=EDGE_CURVE('',#7966,#7967,#4174,.T.); +#9889=EDGE_CURVE('',#7967,#7968,#4175,.T.); +#9890=EDGE_CURVE('',#7968,#7965,#4176,.T.); +#9891=EDGE_CURVE('',#7966,#7969,#4177,.T.); +#9892=EDGE_CURVE('',#7969,#7970,#4178,.T.); +#9893=EDGE_CURVE('',#7970,#7967,#4179,.T.); +#9894=EDGE_CURVE('',#7969,#7971,#4180,.T.); +#9895=EDGE_CURVE('',#7971,#7972,#4181,.T.); +#9896=EDGE_CURVE('',#7972,#7970,#4182,.T.); +#9897=EDGE_CURVE('',#7971,#7965,#242,.T.); +#9898=EDGE_CURVE('',#7968,#7972,#243,.T.); +#9899=EDGE_CURVE('',#7973,#7974,#4183,.T.); +#9900=EDGE_CURVE('',#7974,#7975,#4184,.T.); +#9901=EDGE_CURVE('',#7975,#7976,#4185,.T.); +#9902=EDGE_CURVE('',#7976,#7973,#4186,.T.); +#9903=EDGE_CURVE('',#7974,#7977,#4187,.T.); +#9904=EDGE_CURVE('',#7977,#7978,#4188,.T.); +#9905=EDGE_CURVE('',#7978,#7975,#4189,.T.); +#9906=EDGE_CURVE('',#7977,#7979,#4190,.T.); +#9907=EDGE_CURVE('',#7979,#7980,#4191,.T.); +#9908=EDGE_CURVE('',#7980,#7978,#4192,.T.); +#9909=EDGE_CURVE('',#7979,#7973,#244,.T.); +#9910=EDGE_CURVE('',#7976,#7980,#245,.T.); +#9911=EDGE_CURVE('',#7981,#7982,#4193,.T.); +#9912=EDGE_CURVE('',#7982,#7983,#4194,.T.); +#9913=EDGE_CURVE('',#7983,#7984,#4195,.T.); +#9914=EDGE_CURVE('',#7984,#7981,#4196,.T.); +#9915=EDGE_CURVE('',#7982,#7985,#4197,.T.); +#9916=EDGE_CURVE('',#7985,#7986,#4198,.T.); +#9917=EDGE_CURVE('',#7986,#7983,#4199,.T.); +#9918=EDGE_CURVE('',#7985,#7987,#4200,.T.); +#9919=EDGE_CURVE('',#7987,#7988,#4201,.T.); +#9920=EDGE_CURVE('',#7988,#7986,#4202,.T.); +#9921=EDGE_CURVE('',#7987,#7981,#246,.T.); +#9922=EDGE_CURVE('',#7984,#7988,#247,.T.); +#9923=EDGE_CURVE('',#7989,#7990,#4203,.T.); +#9924=EDGE_CURVE('',#7990,#7991,#4204,.T.); +#9925=EDGE_CURVE('',#7991,#7992,#4205,.T.); +#9926=EDGE_CURVE('',#7992,#7989,#4206,.T.); +#9927=EDGE_CURVE('',#7990,#7993,#4207,.T.); +#9928=EDGE_CURVE('',#7993,#7994,#4208,.T.); +#9929=EDGE_CURVE('',#7994,#7991,#4209,.T.); +#9930=EDGE_CURVE('',#7993,#7995,#4210,.T.); +#9931=EDGE_CURVE('',#7995,#7996,#4211,.T.); +#9932=EDGE_CURVE('',#7996,#7994,#4212,.T.); +#9933=EDGE_CURVE('',#7995,#7989,#248,.T.); +#9934=EDGE_CURVE('',#7992,#7996,#249,.T.); +#9935=EDGE_CURVE('',#7997,#7998,#4213,.T.); +#9936=EDGE_CURVE('',#7998,#7999,#4214,.T.); +#9937=EDGE_CURVE('',#7999,#8000,#4215,.T.); +#9938=EDGE_CURVE('',#8000,#7997,#4216,.T.); +#9939=EDGE_CURVE('',#7998,#8001,#4217,.T.); +#9940=EDGE_CURVE('',#8001,#8002,#4218,.T.); +#9941=EDGE_CURVE('',#8002,#7999,#4219,.T.); +#9942=EDGE_CURVE('',#8001,#8003,#4220,.T.); +#9943=EDGE_CURVE('',#8003,#8004,#4221,.T.); +#9944=EDGE_CURVE('',#8004,#8002,#4222,.T.); +#9945=EDGE_CURVE('',#8003,#7997,#250,.T.); +#9946=EDGE_CURVE('',#8000,#8004,#251,.T.); +#9947=EDGE_CURVE('',#8005,#8006,#4223,.T.); +#9948=EDGE_CURVE('',#8006,#8007,#4224,.T.); +#9949=EDGE_CURVE('',#8007,#8008,#4225,.T.); +#9950=EDGE_CURVE('',#8008,#8005,#4226,.T.); +#9951=EDGE_CURVE('',#8006,#8009,#4227,.T.); +#9952=EDGE_CURVE('',#8009,#8010,#4228,.T.); +#9953=EDGE_CURVE('',#8010,#8007,#4229,.T.); +#9954=EDGE_CURVE('',#8009,#8011,#4230,.T.); +#9955=EDGE_CURVE('',#8011,#8012,#4231,.T.); +#9956=EDGE_CURVE('',#8012,#8010,#4232,.T.); +#9957=EDGE_CURVE('',#8011,#8005,#252,.T.); +#9958=EDGE_CURVE('',#8008,#8012,#253,.T.); +#9959=EDGE_CURVE('',#8013,#8014,#4233,.T.); +#9960=EDGE_CURVE('',#8014,#8015,#4234,.T.); +#9961=EDGE_CURVE('',#8015,#8016,#4235,.T.); +#9962=EDGE_CURVE('',#8016,#8013,#4236,.T.); +#9963=EDGE_CURVE('',#8014,#8017,#4237,.T.); +#9964=EDGE_CURVE('',#8017,#8018,#4238,.T.); +#9965=EDGE_CURVE('',#8018,#8015,#4239,.T.); +#9966=EDGE_CURVE('',#8017,#8019,#4240,.T.); +#9967=EDGE_CURVE('',#8019,#8020,#4241,.T.); +#9968=EDGE_CURVE('',#8020,#8018,#4242,.T.); +#9969=EDGE_CURVE('',#8019,#8013,#254,.T.); +#9970=EDGE_CURVE('',#8016,#8020,#255,.T.); +#9971=EDGE_CURVE('',#8021,#8022,#4243,.T.); +#9972=EDGE_CURVE('',#8022,#8023,#4244,.T.); +#9973=EDGE_CURVE('',#8023,#8024,#4245,.T.); +#9974=EDGE_CURVE('',#8024,#8021,#4246,.T.); +#9975=EDGE_CURVE('',#8022,#8025,#4247,.T.); +#9976=EDGE_CURVE('',#8025,#8026,#4248,.T.); +#9977=EDGE_CURVE('',#8026,#8023,#4249,.T.); +#9978=EDGE_CURVE('',#8025,#8027,#4250,.T.); +#9979=EDGE_CURVE('',#8027,#8028,#4251,.T.); +#9980=EDGE_CURVE('',#8028,#8026,#4252,.T.); +#9981=EDGE_CURVE('',#8027,#8021,#256,.T.); +#9982=EDGE_CURVE('',#8024,#8028,#257,.T.); +#9983=EDGE_CURVE('',#8029,#8030,#4253,.T.); +#9984=EDGE_CURVE('',#8030,#8031,#4254,.T.); +#9985=EDGE_CURVE('',#8031,#8032,#4255,.T.); +#9986=EDGE_CURVE('',#8032,#8029,#4256,.T.); +#9987=EDGE_CURVE('',#8030,#8033,#4257,.T.); +#9988=EDGE_CURVE('',#8033,#8034,#4258,.T.); +#9989=EDGE_CURVE('',#8034,#8031,#4259,.T.); +#9990=EDGE_CURVE('',#8033,#8035,#4260,.T.); +#9991=EDGE_CURVE('',#8035,#8036,#4261,.T.); +#9992=EDGE_CURVE('',#8036,#8034,#4262,.T.); +#9993=EDGE_CURVE('',#8035,#8029,#258,.T.); +#9994=EDGE_CURVE('',#8032,#8036,#259,.T.); +#9995=EDGE_CURVE('',#8037,#8038,#4263,.T.); +#9996=EDGE_CURVE('',#8038,#8039,#4264,.T.); +#9997=EDGE_CURVE('',#8039,#8040,#4265,.T.); +#9998=EDGE_CURVE('',#8040,#8037,#4266,.T.); +#9999=EDGE_CURVE('',#8038,#8041,#4267,.T.); +#10000=EDGE_CURVE('',#8041,#8042,#4268,.T.); +#10001=EDGE_CURVE('',#8042,#8039,#4269,.T.); +#10002=EDGE_CURVE('',#8041,#8043,#4270,.T.); +#10003=EDGE_CURVE('',#8043,#8044,#4271,.T.); +#10004=EDGE_CURVE('',#8044,#8042,#4272,.T.); +#10005=EDGE_CURVE('',#8043,#8037,#260,.T.); +#10006=EDGE_CURVE('',#8040,#8044,#261,.T.); +#10007=EDGE_CURVE('',#8045,#8046,#4273,.T.); +#10008=EDGE_CURVE('',#8046,#8047,#4274,.T.); +#10009=EDGE_CURVE('',#8047,#8048,#4275,.T.); +#10010=EDGE_CURVE('',#8048,#8045,#4276,.T.); +#10011=EDGE_CURVE('',#8046,#8049,#4277,.T.); +#10012=EDGE_CURVE('',#8049,#8050,#4278,.T.); +#10013=EDGE_CURVE('',#8050,#8047,#4279,.T.); +#10014=EDGE_CURVE('',#8049,#8051,#4280,.T.); +#10015=EDGE_CURVE('',#8051,#8052,#4281,.T.); +#10016=EDGE_CURVE('',#8052,#8050,#4282,.T.); +#10017=EDGE_CURVE('',#8051,#8045,#262,.T.); +#10018=EDGE_CURVE('',#8048,#8052,#263,.T.); +#10019=EDGE_CURVE('',#8053,#8054,#4283,.T.); +#10020=EDGE_CURVE('',#8054,#8055,#4284,.T.); +#10021=EDGE_CURVE('',#8055,#8056,#4285,.T.); +#10022=EDGE_CURVE('',#8056,#8053,#4286,.T.); +#10023=EDGE_CURVE('',#8054,#8057,#4287,.T.); +#10024=EDGE_CURVE('',#8057,#8058,#4288,.T.); +#10025=EDGE_CURVE('',#8058,#8055,#4289,.T.); +#10026=EDGE_CURVE('',#8057,#8059,#4290,.T.); +#10027=EDGE_CURVE('',#8059,#8060,#4291,.T.); +#10028=EDGE_CURVE('',#8060,#8058,#4292,.T.); +#10029=EDGE_CURVE('',#8059,#8053,#264,.T.); +#10030=EDGE_CURVE('',#8056,#8060,#265,.T.); +#10031=EDGE_CURVE('',#8061,#8062,#4293,.T.); +#10032=EDGE_CURVE('',#8062,#8063,#4294,.T.); +#10033=EDGE_CURVE('',#8063,#8064,#4295,.T.); +#10034=EDGE_CURVE('',#8064,#8061,#4296,.T.); +#10035=EDGE_CURVE('',#8062,#8065,#4297,.T.); +#10036=EDGE_CURVE('',#8065,#8066,#4298,.T.); +#10037=EDGE_CURVE('',#8066,#8063,#4299,.T.); +#10038=EDGE_CURVE('',#8065,#8067,#4300,.T.); +#10039=EDGE_CURVE('',#8067,#8068,#4301,.T.); +#10040=EDGE_CURVE('',#8068,#8066,#4302,.T.); +#10041=EDGE_CURVE('',#8067,#8061,#266,.T.); +#10042=EDGE_CURVE('',#8064,#8068,#267,.T.); +#10043=EDGE_CURVE('',#8069,#8070,#4303,.T.); +#10044=EDGE_CURVE('',#8070,#8071,#4304,.T.); +#10045=EDGE_CURVE('',#8071,#8072,#4305,.T.); +#10046=EDGE_CURVE('',#8072,#8069,#4306,.T.); +#10047=EDGE_CURVE('',#8070,#8073,#4307,.T.); +#10048=EDGE_CURVE('',#8073,#8074,#4308,.T.); +#10049=EDGE_CURVE('',#8074,#8071,#4309,.T.); +#10050=EDGE_CURVE('',#8073,#8075,#4310,.T.); +#10051=EDGE_CURVE('',#8075,#8076,#4311,.T.); +#10052=EDGE_CURVE('',#8076,#8074,#4312,.T.); +#10053=EDGE_CURVE('',#8075,#8069,#268,.T.); +#10054=EDGE_CURVE('',#8072,#8076,#269,.T.); +#10055=EDGE_CURVE('',#8077,#8078,#4313,.T.); +#10056=EDGE_CURVE('',#8078,#8079,#4314,.T.); +#10057=EDGE_CURVE('',#8079,#8080,#4315,.T.); +#10058=EDGE_CURVE('',#8080,#8077,#4316,.T.); +#10059=EDGE_CURVE('',#8078,#8081,#4317,.T.); +#10060=EDGE_CURVE('',#8081,#8082,#4318,.T.); +#10061=EDGE_CURVE('',#8082,#8079,#4319,.T.); +#10062=EDGE_CURVE('',#8081,#8083,#4320,.T.); +#10063=EDGE_CURVE('',#8083,#8084,#4321,.T.); +#10064=EDGE_CURVE('',#8084,#8082,#4322,.T.); +#10065=EDGE_CURVE('',#8083,#8077,#270,.T.); +#10066=EDGE_CURVE('',#8080,#8084,#271,.T.); +#10067=EDGE_CURVE('',#8085,#8086,#4323,.T.); +#10068=EDGE_CURVE('',#8086,#8087,#4324,.T.); +#10069=EDGE_CURVE('',#8087,#8088,#4325,.T.); +#10070=EDGE_CURVE('',#8088,#8085,#4326,.T.); +#10071=EDGE_CURVE('',#8086,#8089,#4327,.T.); +#10072=EDGE_CURVE('',#8089,#8090,#4328,.T.); +#10073=EDGE_CURVE('',#8090,#8087,#4329,.T.); +#10074=EDGE_CURVE('',#8089,#8091,#4330,.T.); +#10075=EDGE_CURVE('',#8091,#8092,#4331,.T.); +#10076=EDGE_CURVE('',#8092,#8090,#4332,.T.); +#10077=EDGE_CURVE('',#8091,#8085,#272,.T.); +#10078=EDGE_CURVE('',#8088,#8092,#273,.T.); +#10079=EDGE_CURVE('',#8093,#8094,#4333,.T.); +#10080=EDGE_CURVE('',#8094,#8095,#4334,.T.); +#10081=EDGE_CURVE('',#8095,#8096,#4335,.T.); +#10082=EDGE_CURVE('',#8096,#8093,#4336,.T.); +#10083=EDGE_CURVE('',#8094,#8097,#4337,.T.); +#10084=EDGE_CURVE('',#8097,#8098,#4338,.T.); +#10085=EDGE_CURVE('',#8098,#8095,#4339,.T.); +#10086=EDGE_CURVE('',#8097,#8099,#4340,.T.); +#10087=EDGE_CURVE('',#8099,#8100,#4341,.T.); +#10088=EDGE_CURVE('',#8100,#8098,#4342,.T.); +#10089=EDGE_CURVE('',#8099,#8093,#274,.T.); +#10090=EDGE_CURVE('',#8096,#8100,#275,.T.); +#10091=EDGE_CURVE('',#8101,#8102,#4343,.T.); +#10092=EDGE_CURVE('',#8102,#8103,#4344,.T.); +#10093=EDGE_CURVE('',#8103,#8104,#4345,.T.); +#10094=EDGE_CURVE('',#8104,#8101,#4346,.T.); +#10095=EDGE_CURVE('',#8102,#8105,#4347,.T.); +#10096=EDGE_CURVE('',#8105,#8106,#4348,.T.); +#10097=EDGE_CURVE('',#8106,#8103,#4349,.T.); +#10098=EDGE_CURVE('',#8105,#8107,#4350,.T.); +#10099=EDGE_CURVE('',#8107,#8108,#4351,.T.); +#10100=EDGE_CURVE('',#8108,#8106,#4352,.T.); +#10101=EDGE_CURVE('',#8107,#8101,#276,.T.); +#10102=EDGE_CURVE('',#8104,#8108,#277,.T.); +#10103=EDGE_CURVE('',#8109,#8110,#4353,.T.); +#10104=EDGE_CURVE('',#8110,#8111,#4354,.T.); +#10105=EDGE_CURVE('',#8111,#8112,#4355,.T.); +#10106=EDGE_CURVE('',#8112,#8109,#4356,.T.); +#10107=EDGE_CURVE('',#8110,#8113,#4357,.T.); +#10108=EDGE_CURVE('',#8113,#8114,#4358,.T.); +#10109=EDGE_CURVE('',#8114,#8111,#4359,.T.); +#10110=EDGE_CURVE('',#8113,#8115,#4360,.T.); +#10111=EDGE_CURVE('',#8115,#8116,#4361,.T.); +#10112=EDGE_CURVE('',#8116,#8114,#4362,.T.); +#10113=EDGE_CURVE('',#8115,#8109,#278,.T.); +#10114=EDGE_CURVE('',#8112,#8116,#279,.T.); +#10115=EDGE_CURVE('',#8117,#8118,#4363,.T.); +#10116=EDGE_CURVE('',#8118,#8119,#4364,.T.); +#10117=EDGE_CURVE('',#8119,#8120,#4365,.T.); +#10118=EDGE_CURVE('',#8120,#8117,#4366,.T.); +#10119=EDGE_CURVE('',#8118,#8121,#4367,.T.); +#10120=EDGE_CURVE('',#8121,#8122,#4368,.T.); +#10121=EDGE_CURVE('',#8122,#8119,#4369,.T.); +#10122=EDGE_CURVE('',#8121,#8123,#4370,.T.); +#10123=EDGE_CURVE('',#8123,#8124,#4371,.T.); +#10124=EDGE_CURVE('',#8124,#8122,#4372,.T.); +#10125=EDGE_CURVE('',#8123,#8117,#280,.T.); +#10126=EDGE_CURVE('',#8120,#8124,#281,.T.); +#10127=EDGE_CURVE('',#8125,#8126,#4373,.T.); +#10128=EDGE_CURVE('',#8126,#8127,#4374,.T.); +#10129=EDGE_CURVE('',#8127,#8128,#4375,.T.); +#10130=EDGE_CURVE('',#8128,#8125,#4376,.T.); +#10131=EDGE_CURVE('',#8126,#8129,#4377,.T.); +#10132=EDGE_CURVE('',#8129,#8130,#4378,.T.); +#10133=EDGE_CURVE('',#8130,#8127,#4379,.T.); +#10134=EDGE_CURVE('',#8129,#8131,#4380,.T.); +#10135=EDGE_CURVE('',#8131,#8132,#4381,.T.); +#10136=EDGE_CURVE('',#8132,#8130,#4382,.T.); +#10137=EDGE_CURVE('',#8131,#8125,#282,.T.); +#10138=EDGE_CURVE('',#8128,#8132,#283,.T.); +#10139=EDGE_CURVE('',#8133,#8134,#4383,.T.); +#10140=EDGE_CURVE('',#8134,#8135,#4384,.T.); +#10141=EDGE_CURVE('',#8135,#8136,#4385,.T.); +#10142=EDGE_CURVE('',#8136,#8133,#4386,.T.); +#10143=EDGE_CURVE('',#8134,#8137,#4387,.T.); +#10144=EDGE_CURVE('',#8137,#8138,#4388,.T.); +#10145=EDGE_CURVE('',#8138,#8135,#4389,.T.); +#10146=EDGE_CURVE('',#8137,#8139,#4390,.T.); +#10147=EDGE_CURVE('',#8139,#8140,#4391,.T.); +#10148=EDGE_CURVE('',#8140,#8138,#4392,.T.); +#10149=EDGE_CURVE('',#8139,#8133,#284,.T.); +#10150=EDGE_CURVE('',#8136,#8140,#285,.T.); +#10151=EDGE_CURVE('',#8141,#8142,#4393,.T.); +#10152=EDGE_CURVE('',#8142,#8143,#4394,.T.); +#10153=EDGE_CURVE('',#8143,#8144,#4395,.T.); +#10154=EDGE_CURVE('',#8144,#8141,#4396,.T.); +#10155=EDGE_CURVE('',#8142,#8145,#4397,.T.); +#10156=EDGE_CURVE('',#8145,#8146,#4398,.T.); +#10157=EDGE_CURVE('',#8146,#8143,#4399,.T.); +#10158=EDGE_CURVE('',#8145,#8147,#4400,.T.); +#10159=EDGE_CURVE('',#8147,#8148,#4401,.T.); +#10160=EDGE_CURVE('',#8148,#8146,#4402,.T.); +#10161=EDGE_CURVE('',#8147,#8141,#286,.T.); +#10162=EDGE_CURVE('',#8144,#8148,#287,.T.); +#10163=EDGE_CURVE('',#8149,#8150,#4403,.T.); +#10164=EDGE_CURVE('',#8150,#8151,#4404,.T.); +#10165=EDGE_CURVE('',#8151,#8152,#4405,.T.); +#10166=EDGE_CURVE('',#8152,#8149,#4406,.T.); +#10167=EDGE_CURVE('',#8150,#8153,#4407,.T.); +#10168=EDGE_CURVE('',#8153,#8154,#4408,.T.); +#10169=EDGE_CURVE('',#8154,#8151,#4409,.T.); +#10170=EDGE_CURVE('',#8153,#8155,#4410,.T.); +#10171=EDGE_CURVE('',#8155,#8156,#4411,.T.); +#10172=EDGE_CURVE('',#8156,#8154,#4412,.T.); +#10173=EDGE_CURVE('',#8155,#8149,#288,.T.); +#10174=EDGE_CURVE('',#8152,#8156,#289,.T.); +#10175=EDGE_CURVE('',#8157,#8158,#4413,.T.); +#10176=EDGE_CURVE('',#8158,#8159,#4414,.T.); +#10177=EDGE_CURVE('',#8159,#8160,#4415,.T.); +#10178=EDGE_CURVE('',#8160,#8157,#4416,.T.); +#10179=EDGE_CURVE('',#8158,#8161,#4417,.T.); +#10180=EDGE_CURVE('',#8161,#8162,#4418,.T.); +#10181=EDGE_CURVE('',#8162,#8159,#4419,.T.); +#10182=EDGE_CURVE('',#8161,#8163,#4420,.T.); +#10183=EDGE_CURVE('',#8163,#8164,#4421,.T.); +#10184=EDGE_CURVE('',#8164,#8162,#4422,.T.); +#10185=EDGE_CURVE('',#8163,#8165,#4423,.T.); +#10186=EDGE_CURVE('',#8165,#8166,#4424,.T.); +#10187=EDGE_CURVE('',#8166,#8164,#4425,.T.); +#10188=EDGE_CURVE('',#8165,#8167,#4426,.T.); +#10189=EDGE_CURVE('',#8167,#8168,#4427,.T.); +#10190=EDGE_CURVE('',#8168,#8166,#4428,.T.); +#10191=EDGE_CURVE('',#8167,#8169,#4429,.T.); +#10192=EDGE_CURVE('',#8169,#8170,#4430,.T.); +#10193=EDGE_CURVE('',#8170,#8168,#4431,.T.); +#10194=EDGE_CURVE('',#8169,#8171,#4432,.T.); +#10195=EDGE_CURVE('',#8171,#8172,#4433,.T.); +#10196=EDGE_CURVE('',#8172,#8170,#4434,.T.); +#10197=EDGE_CURVE('',#8171,#8173,#4435,.T.); +#10198=EDGE_CURVE('',#8173,#8174,#4436,.T.); +#10199=EDGE_CURVE('',#8174,#8172,#4437,.T.); +#10200=EDGE_CURVE('',#8173,#8175,#4438,.T.); +#10201=EDGE_CURVE('',#8175,#8176,#4439,.T.); +#10202=EDGE_CURVE('',#8176,#8174,#4440,.T.); +#10203=EDGE_CURVE('',#8175,#8177,#4441,.T.); +#10204=EDGE_CURVE('',#8177,#8178,#4442,.T.); +#10205=EDGE_CURVE('',#8178,#8176,#4443,.T.); +#10206=EDGE_CURVE('',#8177,#8179,#4444,.T.); +#10207=EDGE_CURVE('',#8179,#8180,#4445,.T.); +#10208=EDGE_CURVE('',#8180,#8178,#4446,.T.); +#10209=EDGE_CURVE('',#8179,#8181,#4447,.T.); +#10210=EDGE_CURVE('',#8181,#8182,#4448,.T.); +#10211=EDGE_CURVE('',#8182,#8180,#4449,.T.); +#10212=EDGE_CURVE('',#8181,#8183,#4450,.T.); +#10213=EDGE_CURVE('',#8183,#8184,#4451,.T.); +#10214=EDGE_CURVE('',#8184,#8182,#4452,.T.); +#10215=EDGE_CURVE('',#8183,#8157,#4453,.T.); +#10216=EDGE_CURVE('',#8160,#8184,#4454,.T.); +#10217=EDGE_CURVE('',#8185,#8186,#290,.T.); +#10218=EDGE_CURVE('',#8187,#8185,#4455,.T.); +#10219=EDGE_CURVE('',#8187,#8188,#291,.T.); +#10220=EDGE_CURVE('',#8186,#8188,#4456,.T.); +#10221=EDGE_CURVE('',#8188,#8189,#4457,.T.); +#10222=EDGE_CURVE('',#8190,#8187,#4458,.T.); +#10223=EDGE_CURVE('',#8189,#8190,#292,.T.); +#10224=EDGE_CURVE('',#8191,#8192,#293,.T.); +#10225=EDGE_CURVE('',#8193,#8191,#4459,.T.); +#10226=EDGE_CURVE('',#8193,#8194,#294,.T.); +#10227=EDGE_CURVE('',#8192,#8194,#4460,.T.); +#10228=EDGE_CURVE('',#8194,#8195,#4461,.T.); +#10229=EDGE_CURVE('',#8196,#8193,#4462,.T.); +#10230=EDGE_CURVE('',#8195,#8196,#295,.T.); +#10231=EDGE_CURVE('',#8197,#8198,#296,.T.); +#10232=EDGE_CURVE('',#8199,#8197,#4463,.T.); +#10233=EDGE_CURVE('',#8199,#8200,#297,.T.); +#10234=EDGE_CURVE('',#8198,#8200,#4464,.T.); +#10235=EDGE_CURVE('',#8200,#8201,#4465,.T.); +#10236=EDGE_CURVE('',#8202,#8199,#4466,.T.); +#10237=EDGE_CURVE('',#8201,#8202,#298,.T.); +#10238=EDGE_CURVE('',#8203,#8190,#4467,.T.); +#10239=EDGE_CURVE('',#8204,#8203,#299,.T.); +#10240=EDGE_CURVE('',#8189,#8204,#4468,.T.); +#10241=EDGE_CURVE('',#8205,#8185,#4469,.T.); +#10242=EDGE_CURVE('',#8205,#8206,#4470,.T.); +#10243=EDGE_CURVE('',#8206,#8207,#4471,.T.); +#10244=EDGE_CURVE('',#8207,#8208,#4472,.T.); +#10245=EDGE_CURVE('',#8203,#8208,#4473,.T.); +#10246=EDGE_CURVE('',#8209,#8196,#4474,.T.); +#10247=EDGE_CURVE('',#8210,#8209,#300,.T.); +#10248=EDGE_CURVE('',#8195,#8210,#4475,.T.); +#10249=EDGE_CURVE('',#8211,#8202,#4476,.T.); +#10250=EDGE_CURVE('',#8212,#8211,#301,.T.); +#10251=EDGE_CURVE('',#8201,#8212,#4477,.T.); +#10252=EDGE_CURVE('',#8213,#8205,#302,.T.); +#10253=EDGE_CURVE('',#8214,#8213,#4478,.T.); +#10254=EDGE_CURVE('',#8214,#8206,#303,.T.); +#10255=EDGE_CURVE('',#8215,#8214,#4479,.T.); +#10256=EDGE_CURVE('',#8207,#8215,#304,.T.); +#10257=EDGE_CURVE('',#8186,#8191,#4480,.T.); +#10258=EDGE_CURVE('',#8192,#8197,#4481,.T.); +#10259=EDGE_CURVE('',#8198,#8213,#4482,.T.); +#10260=EDGE_CURVE('',#8216,#8217,#305,.T.); +#10261=EDGE_CURVE('',#8217,#8218,#4483,.T.); +#10262=EDGE_CURVE('',#8218,#8219,#306,.T.); +#10263=EDGE_CURVE('',#8219,#8216,#4484,.T.); +#10264=EDGE_CURVE('',#8220,#8221,#307,.T.); +#10265=EDGE_CURVE('',#8221,#8219,#308,.T.); +#10266=EDGE_CURVE('',#8218,#8220,#309,.T.); +#10267=EDGE_CURVE('',#8222,#8223,#310,.T.); +#10268=EDGE_CURVE('',#8223,#8221,#4485,.T.); +#10269=EDGE_CURVE('',#8220,#8222,#4486,.T.); +#10270=EDGE_CURVE('',#8224,#8225,#311,.T.); +#10271=EDGE_CURVE('',#8225,#8223,#312,.T.); +#10272=EDGE_CURVE('',#8222,#8224,#313,.T.); +#10273=EDGE_CURVE('',#8226,#8227,#314,.T.); +#10274=EDGE_CURVE('',#8227,#8225,#4487,.T.); +#10275=EDGE_CURVE('',#8224,#8226,#4488,.T.); +#10276=EDGE_CURVE('',#8228,#8229,#315,.T.); +#10277=EDGE_CURVE('',#8229,#8227,#316,.T.); +#10278=EDGE_CURVE('',#8226,#8228,#317,.T.); +#10279=EDGE_CURVE('',#8230,#8231,#318,.T.); +#10280=EDGE_CURVE('',#8231,#8229,#4489,.T.); +#10281=EDGE_CURVE('',#8228,#8230,#4490,.T.); +#10282=EDGE_CURVE('',#8216,#8231,#319,.T.); +#10283=EDGE_CURVE('',#8230,#8217,#320,.T.); +#10284=EDGE_CURVE('',#8222,#8232,#321,.T.); +#10285=EDGE_CURVE('',#8232,#8233,#322,.T.); +#10286=EDGE_CURVE('',#8224,#8233,#323,.T.); +#10287=EDGE_CURVE('',#8220,#8234,#324,.T.); +#10288=EDGE_CURVE('',#8234,#8232,#4491,.T.); +#10289=EDGE_CURVE('',#8218,#8235,#325,.T.); +#10290=EDGE_CURVE('',#8235,#8234,#326,.T.); +#10291=EDGE_CURVE('',#8217,#8236,#327,.T.); +#10292=EDGE_CURVE('',#8236,#8235,#4492,.T.); +#10293=EDGE_CURVE('',#8208,#8237,#328,.T.); +#10294=EDGE_CURVE('',#8237,#8212,#4493,.T.); +#10295=EDGE_CURVE('',#8211,#8210,#4494,.T.); +#10296=EDGE_CURVE('',#8209,#8204,#4495,.T.); +#10297=EDGE_CURVE('',#8228,#8238,#329,.T.); +#10298=EDGE_CURVE('',#8238,#8239,#4496,.T.); +#10299=EDGE_CURVE('',#8239,#8230,#330,.T.); +#10300=EDGE_CURVE('',#8226,#8240,#331,.T.); +#10301=EDGE_CURVE('',#8240,#8238,#332,.T.); +#10302=EDGE_CURVE('',#8233,#8240,#4497,.T.); +#10303=EDGE_CURVE('',#8239,#8236,#333,.T.); +#10304=EDGE_CURVE('',#8237,#8215,#4498,.T.); +#10305=EDGE_CURVE('',#8241,#8242,#1099,.T.); +#10306=EDGE_CURVE('',#8243,#8242,#4499,.T.); +#10307=EDGE_CURVE('',#8244,#8243,#1100,.T.); +#10308=EDGE_CURVE('',#8244,#8241,#4500,.T.); +#10309=EDGE_CURVE('',#8241,#8245,#4501,.T.); +#10310=EDGE_CURVE('',#8245,#8246,#334,.F.); +#10311=EDGE_CURVE('',#8242,#8246,#4502,.T.); +#10312=EDGE_CURVE('',#8247,#8243,#4503,.T.); +#10313=EDGE_CURVE('',#8248,#8247,#335,.F.); +#10314=EDGE_CURVE('',#8248,#8244,#4504,.T.); +#10315=EDGE_CURVE('',#8243,#8249,#336,.T.); +#10316=EDGE_CURVE('',#8242,#8250,#337,.T.); +#10317=EDGE_CURVE('',#8250,#8251,#338,.T.); +#10318=EDGE_CURVE('',#8251,#8252,#339,.T.); +#10319=EDGE_CURVE('',#8253,#8252,#4505,.T.); +#10320=EDGE_CURVE('',#8254,#8253,#340,.T.); +#10321=EDGE_CURVE('',#8249,#8254,#341,.T.); +#10322=EDGE_CURVE('',#8255,#8256,#342,.T.); +#10323=EDGE_CURVE('',#8256,#8257,#343,.T.); +#10324=EDGE_CURVE('',#8257,#8241,#344,.T.); +#10325=EDGE_CURVE('',#8244,#8258,#345,.T.); +#10326=EDGE_CURVE('',#8258,#8259,#346,.T.); +#10327=EDGE_CURVE('',#8259,#8260,#347,.T.); +#10328=EDGE_CURVE('',#8255,#8260,#4506,.T.); +#10329=EDGE_CURVE('',#8261,#8255,#4507,.T.); +#10330=EDGE_CURVE('',#8245,#8261,#4508,.T.); +#10331=EDGE_CURVE('',#8262,#8245,#348,.F.); +#10332=EDGE_CURVE('',#8262,#8246,#349,.F.); +#10333=EDGE_CURVE('',#8246,#8263,#4509,.T.); +#10334=EDGE_CURVE('',#8252,#8263,#4510,.T.); +#10335=EDGE_CURVE('',#8264,#8253,#4511,.T.); +#10336=EDGE_CURVE('',#8247,#8264,#4512,.T.); +#10337=EDGE_CURVE('',#8248,#8265,#350,.F.); +#10338=EDGE_CURVE('',#8265,#8247,#351,.T.); +#10339=EDGE_CURVE('',#8266,#8248,#4513,.T.); +#10340=EDGE_CURVE('',#8260,#8266,#4514,.T.); +#10341=EDGE_CURVE('',#8267,#8253,#1101,.T.); +#10342=EDGE_CURVE('',#8268,#8252,#1102,.T.); +#10343=EDGE_CURVE('',#8267,#8268,#4515,.T.); +#10344=EDGE_CURVE('',#8255,#8269,#1103,.T.); +#10345=EDGE_CURVE('',#8260,#8270,#1104,.T.); +#10346=EDGE_CURVE('',#8269,#8270,#4516,.T.); +#10347=EDGE_CURVE('',#8271,#8269,#4517,.T.); +#10348=EDGE_CURVE('',#8261,#8271,#352,.F.); +#10349=EDGE_CURVE('',#8261,#8272,#353,.F.); +#10350=EDGE_CURVE('',#8262,#8272,#4518,.T.); +#10351=EDGE_CURVE('',#8262,#8273,#4519,.T.); +#10352=EDGE_CURVE('',#8273,#8263,#354,.F.); +#10353=EDGE_CURVE('',#8274,#8263,#355,.F.); +#10354=EDGE_CURVE('',#8268,#8274,#4520,.T.); +#10355=EDGE_CURVE('',#8275,#8267,#4521,.T.); +#10356=EDGE_CURVE('',#8275,#8264,#356,.F.); +#10357=EDGE_CURVE('',#8265,#8276,#4522,.T.); +#10358=EDGE_CURVE('',#8276,#8264,#357,.F.); +#10359=EDGE_CURVE('',#8277,#8266,#358,.F.); +#10360=EDGE_CURVE('',#8277,#8265,#4523,.T.); +#10361=EDGE_CURVE('',#8266,#8278,#359,.F.); +#10362=EDGE_CURVE('',#8270,#8278,#4524,.T.); +#10363=EDGE_CURVE('',#8279,#8280,#360,.T.); +#10364=EDGE_CURVE('',#8279,#8281,#4525,.T.); +#10365=EDGE_CURVE('',#8282,#8281,#361,.T.); +#10366=EDGE_CURVE('',#8283,#8282,#362,.T.); +#10367=EDGE_CURVE('',#8267,#8283,#363,.T.); +#10368=EDGE_CURVE('',#8284,#8268,#364,.T.); +#10369=EDGE_CURVE('',#8280,#8284,#365,.T.); +#10370=EDGE_CURVE('',#8270,#8285,#366,.T.); +#10371=EDGE_CURVE('',#8285,#8286,#367,.T.); +#10372=EDGE_CURVE('',#8286,#8287,#368,.T.); +#10373=EDGE_CURVE('',#8288,#8287,#4526,.T.); +#10374=EDGE_CURVE('',#8289,#8288,#369,.T.); +#10375=EDGE_CURVE('',#8290,#8289,#370,.T.); +#10376=EDGE_CURVE('',#8269,#8290,#371,.T.); +#10377=EDGE_CURVE('',#8291,#8288,#4527,.T.); +#10378=EDGE_CURVE('',#8271,#8291,#4528,.T.); +#10379=EDGE_CURVE('',#8272,#8271,#372,.T.); +#10380=EDGE_CURVE('',#8272,#8292,#4529,.T.); +#10381=EDGE_CURVE('',#8273,#8292,#4530,.T.); +#10382=EDGE_CURVE('',#8274,#8273,#373,.F.); +#10383=EDGE_CURVE('',#8274,#8293,#4531,.T.); +#10384=EDGE_CURVE('',#8293,#8279,#4532,.T.); +#10385=EDGE_CURVE('',#8281,#8294,#4533,.T.); +#10386=EDGE_CURVE('',#8294,#8275,#4534,.T.); +#10387=EDGE_CURVE('',#8276,#8275,#374,.F.); +#10388=EDGE_CURVE('',#8277,#8295,#4535,.T.); +#10389=EDGE_CURVE('',#8295,#8276,#4536,.T.); +#10390=EDGE_CURVE('',#8277,#8278,#375,.F.); +#10391=EDGE_CURVE('',#8278,#8296,#4537,.T.); +#10392=EDGE_CURVE('',#8287,#8296,#4538,.T.); +#10393=EDGE_CURVE('',#8279,#8288,#1105,.T.); +#10394=EDGE_CURVE('',#8287,#8281,#1106,.T.); +#10395=EDGE_CURVE('',#8293,#8291,#376,.F.); +#10396=EDGE_CURVE('',#8292,#8291,#377,.F.); +#10397=EDGE_CURVE('',#8292,#8293,#378,.F.); +#10398=EDGE_CURVE('',#8294,#8296,#379,.F.); +#10399=EDGE_CURVE('',#8294,#8295,#380,.F.); +#10400=EDGE_CURVE('',#8295,#8296,#381,.F.); +#10401=EDGE_CURVE('',#8297,#8298,#1107,.T.); +#10402=EDGE_CURVE('',#8299,#8298,#4539,.T.); +#10403=EDGE_CURVE('',#8300,#8299,#1108,.T.); +#10404=EDGE_CURVE('',#8300,#8297,#4540,.T.); +#10405=EDGE_CURVE('',#8297,#8301,#4541,.T.); +#10406=EDGE_CURVE('',#8301,#8302,#382,.F.); +#10407=EDGE_CURVE('',#8298,#8302,#4542,.T.); +#10408=EDGE_CURVE('',#8303,#8299,#4543,.T.); +#10409=EDGE_CURVE('',#8304,#8303,#383,.F.); +#10410=EDGE_CURVE('',#8304,#8300,#4544,.T.); +#10411=EDGE_CURVE('',#8299,#8305,#384,.T.); +#10412=EDGE_CURVE('',#8298,#8306,#385,.T.); +#10413=EDGE_CURVE('',#8306,#8307,#386,.T.); +#10414=EDGE_CURVE('',#8307,#8308,#387,.T.); +#10415=EDGE_CURVE('',#8309,#8308,#4545,.T.); +#10416=EDGE_CURVE('',#8310,#8309,#388,.T.); +#10417=EDGE_CURVE('',#8305,#8310,#389,.T.); +#10418=EDGE_CURVE('',#8311,#8312,#390,.T.); +#10419=EDGE_CURVE('',#8312,#8313,#391,.T.); +#10420=EDGE_CURVE('',#8313,#8297,#392,.T.); +#10421=EDGE_CURVE('',#8300,#8314,#393,.T.); +#10422=EDGE_CURVE('',#8314,#8315,#394,.T.); +#10423=EDGE_CURVE('',#8315,#8316,#395,.T.); +#10424=EDGE_CURVE('',#8311,#8316,#4546,.T.); +#10425=EDGE_CURVE('',#8317,#8311,#4547,.T.); +#10426=EDGE_CURVE('',#8301,#8317,#4548,.T.); +#10427=EDGE_CURVE('',#8318,#8301,#396,.F.); +#10428=EDGE_CURVE('',#8318,#8302,#397,.F.); +#10429=EDGE_CURVE('',#8302,#8319,#4549,.T.); +#10430=EDGE_CURVE('',#8308,#8319,#4550,.T.); +#10431=EDGE_CURVE('',#8320,#8309,#4551,.T.); +#10432=EDGE_CURVE('',#8303,#8320,#4552,.T.); +#10433=EDGE_CURVE('',#8304,#8321,#398,.F.); +#10434=EDGE_CURVE('',#8321,#8303,#399,.T.); +#10435=EDGE_CURVE('',#8322,#8304,#4553,.T.); +#10436=EDGE_CURVE('',#8316,#8322,#4554,.T.); +#10437=EDGE_CURVE('',#8323,#8309,#1109,.T.); +#10438=EDGE_CURVE('',#8324,#8308,#1110,.T.); +#10439=EDGE_CURVE('',#8323,#8324,#4555,.T.); +#10440=EDGE_CURVE('',#8311,#8325,#1111,.T.); +#10441=EDGE_CURVE('',#8316,#8326,#1112,.T.); +#10442=EDGE_CURVE('',#8325,#8326,#4556,.T.); +#10443=EDGE_CURVE('',#8327,#8325,#4557,.T.); +#10444=EDGE_CURVE('',#8317,#8327,#400,.F.); +#10445=EDGE_CURVE('',#8317,#8328,#401,.F.); +#10446=EDGE_CURVE('',#8318,#8328,#4558,.T.); +#10447=EDGE_CURVE('',#8318,#8329,#4559,.T.); +#10448=EDGE_CURVE('',#8329,#8319,#402,.F.); +#10449=EDGE_CURVE('',#8330,#8319,#403,.F.); +#10450=EDGE_CURVE('',#8324,#8330,#4560,.T.); +#10451=EDGE_CURVE('',#8331,#8323,#4561,.T.); +#10452=EDGE_CURVE('',#8331,#8320,#404,.F.); +#10453=EDGE_CURVE('',#8321,#8332,#4562,.T.); +#10454=EDGE_CURVE('',#8332,#8320,#405,.F.); +#10455=EDGE_CURVE('',#8333,#8322,#406,.F.); +#10456=EDGE_CURVE('',#8333,#8321,#4563,.T.); +#10457=EDGE_CURVE('',#8322,#8334,#407,.F.); +#10458=EDGE_CURVE('',#8326,#8334,#4564,.T.); +#10459=EDGE_CURVE('',#8335,#8336,#408,.T.); +#10460=EDGE_CURVE('',#8335,#8337,#4565,.T.); +#10461=EDGE_CURVE('',#8338,#8337,#409,.T.); +#10462=EDGE_CURVE('',#8339,#8338,#410,.T.); +#10463=EDGE_CURVE('',#8323,#8339,#411,.T.); +#10464=EDGE_CURVE('',#8340,#8324,#412,.T.); +#10465=EDGE_CURVE('',#8336,#8340,#413,.T.); +#10466=EDGE_CURVE('',#8326,#8341,#414,.T.); +#10467=EDGE_CURVE('',#8341,#8342,#415,.T.); +#10468=EDGE_CURVE('',#8342,#8343,#416,.T.); +#10469=EDGE_CURVE('',#8344,#8343,#4566,.T.); +#10470=EDGE_CURVE('',#8345,#8344,#417,.T.); +#10471=EDGE_CURVE('',#8346,#8345,#418,.T.); +#10472=EDGE_CURVE('',#8325,#8346,#419,.T.); +#10473=EDGE_CURVE('',#8347,#8344,#4567,.T.); +#10474=EDGE_CURVE('',#8327,#8347,#4568,.T.); +#10475=EDGE_CURVE('',#8328,#8327,#420,.T.); +#10476=EDGE_CURVE('',#8328,#8348,#4569,.T.); +#10477=EDGE_CURVE('',#8329,#8348,#4570,.T.); +#10478=EDGE_CURVE('',#8330,#8329,#421,.F.); +#10479=EDGE_CURVE('',#8330,#8349,#4571,.T.); +#10480=EDGE_CURVE('',#8349,#8335,#4572,.T.); +#10481=EDGE_CURVE('',#8337,#8350,#4573,.T.); +#10482=EDGE_CURVE('',#8350,#8331,#4574,.T.); +#10483=EDGE_CURVE('',#8332,#8331,#422,.F.); +#10484=EDGE_CURVE('',#8333,#8351,#4575,.T.); +#10485=EDGE_CURVE('',#8351,#8332,#4576,.T.); +#10486=EDGE_CURVE('',#8333,#8334,#423,.F.); +#10487=EDGE_CURVE('',#8334,#8352,#4577,.T.); +#10488=EDGE_CURVE('',#8343,#8352,#4578,.T.); +#10489=EDGE_CURVE('',#8335,#8344,#1113,.T.); +#10490=EDGE_CURVE('',#8343,#8337,#1114,.T.); +#10491=EDGE_CURVE('',#8349,#8347,#424,.F.); +#10492=EDGE_CURVE('',#8348,#8347,#425,.F.); +#10493=EDGE_CURVE('',#8348,#8349,#426,.F.); +#10494=EDGE_CURVE('',#8350,#8352,#427,.F.); +#10495=EDGE_CURVE('',#8350,#8351,#428,.F.); +#10496=EDGE_CURVE('',#8351,#8352,#429,.F.); +#10497=EDGE_CURVE('',#8353,#8354,#154,.T.); +#10498=EDGE_CURVE('',#8355,#8354,#4579,.T.); +#10499=EDGE_CURVE('',#8356,#8355,#155,.T.); +#10500=EDGE_CURVE('',#8356,#8353,#4580,.T.); +#10501=EDGE_CURVE('',#8353,#8357,#4581,.T.); +#10502=EDGE_CURVE('',#8357,#8358,#430,.F.); +#10503=EDGE_CURVE('',#8354,#8358,#4582,.T.); +#10504=EDGE_CURVE('',#8359,#8355,#4583,.T.); +#10505=EDGE_CURVE('',#8360,#8359,#431,.T.); +#10506=EDGE_CURVE('',#8360,#8356,#4584,.T.); +#10507=EDGE_CURVE('',#8355,#8361,#432,.T.); +#10508=EDGE_CURVE('',#8354,#8362,#433,.T.); +#10509=EDGE_CURVE('',#8362,#8363,#434,.T.); +#10510=EDGE_CURVE('',#8363,#8364,#435,.T.); +#10511=EDGE_CURVE('',#8365,#8364,#4585,.T.); +#10512=EDGE_CURVE('',#8366,#8365,#436,.T.); +#10513=EDGE_CURVE('',#8361,#8366,#437,.T.); +#10514=EDGE_CURVE('',#8367,#8368,#438,.T.); +#10515=EDGE_CURVE('',#8368,#8369,#439,.T.); +#10516=EDGE_CURVE('',#8369,#8353,#440,.T.); +#10517=EDGE_CURVE('',#8356,#8370,#441,.T.); +#10518=EDGE_CURVE('',#8370,#8371,#442,.T.); +#10519=EDGE_CURVE('',#8371,#8372,#443,.T.); +#10520=EDGE_CURVE('',#8367,#8372,#4586,.T.); +#10521=EDGE_CURVE('',#8373,#8367,#4587,.T.); +#10522=EDGE_CURVE('',#8357,#8373,#4588,.T.); +#10523=EDGE_CURVE('',#8357,#8374,#444,.T.); +#10524=EDGE_CURVE('',#8358,#8374,#445,.F.); +#10525=EDGE_CURVE('',#8358,#8375,#4589,.T.); +#10526=EDGE_CURVE('',#8364,#8375,#4590,.T.); +#10527=EDGE_CURVE('',#8376,#8365,#4591,.T.); +#10528=EDGE_CURVE('',#8359,#8376,#4592,.T.); +#10529=EDGE_CURVE('',#8360,#8377,#446,.F.); +#10530=EDGE_CURVE('',#8377,#8359,#447,.F.); +#10531=EDGE_CURVE('',#8378,#8360,#4593,.T.); +#10532=EDGE_CURVE('',#8372,#8378,#4594,.T.); +#10533=EDGE_CURVE('',#8379,#8365,#156,.T.); +#10534=EDGE_CURVE('',#8380,#8364,#157,.T.); +#10535=EDGE_CURVE('',#8379,#8380,#4595,.T.); +#10536=EDGE_CURVE('',#8367,#8381,#158,.T.); +#10537=EDGE_CURVE('',#8372,#8382,#159,.T.); +#10538=EDGE_CURVE('',#8381,#8382,#4596,.T.); +#10539=EDGE_CURVE('',#8383,#8381,#4597,.T.); +#10540=EDGE_CURVE('',#8373,#8383,#448,.T.); +#10541=EDGE_CURVE('',#8374,#8384,#4598,.T.); +#10542=EDGE_CURVE('',#8373,#8384,#449,.F.); +#10543=EDGE_CURVE('',#8374,#8385,#4599,.T.); +#10544=EDGE_CURVE('',#8385,#8375,#450,.F.); +#10545=EDGE_CURVE('',#8375,#8386,#451,.T.); +#10546=EDGE_CURVE('',#8380,#8386,#4600,.T.); +#10547=EDGE_CURVE('',#8387,#8379,#4601,.T.); +#10548=EDGE_CURVE('',#8376,#8387,#452,.F.); +#10549=EDGE_CURVE('',#8376,#8388,#453,.F.); +#10550=EDGE_CURVE('',#8377,#8388,#4602,.T.); +#10551=EDGE_CURVE('',#8389,#8377,#4603,.T.); +#10552=EDGE_CURVE('',#8378,#8389,#454,.T.); +#10553=EDGE_CURVE('',#8378,#8390,#455,.F.); +#10554=EDGE_CURVE('',#8382,#8390,#4604,.T.); +#10555=EDGE_CURVE('',#8391,#8392,#456,.T.); +#10556=EDGE_CURVE('',#8391,#8393,#4605,.T.); +#10557=EDGE_CURVE('',#8394,#8393,#457,.T.); +#10558=EDGE_CURVE('',#8395,#8394,#458,.T.); +#10559=EDGE_CURVE('',#8379,#8395,#459,.T.); +#10560=EDGE_CURVE('',#8396,#8380,#460,.T.); +#10561=EDGE_CURVE('',#8392,#8396,#461,.T.); +#10562=EDGE_CURVE('',#8382,#8397,#462,.T.); +#10563=EDGE_CURVE('',#8397,#8398,#463,.T.); +#10564=EDGE_CURVE('',#8398,#8399,#464,.T.); +#10565=EDGE_CURVE('',#8400,#8399,#4606,.T.); +#10566=EDGE_CURVE('',#8401,#8400,#465,.T.); +#10567=EDGE_CURVE('',#8402,#8401,#466,.T.); +#10568=EDGE_CURVE('',#8381,#8402,#467,.T.); +#10569=EDGE_CURVE('',#8403,#8400,#4607,.T.); +#10570=EDGE_CURVE('',#8383,#8403,#4608,.T.); +#10571=EDGE_CURVE('',#8384,#8383,#468,.F.); +#10572=EDGE_CURVE('',#8384,#8404,#4609,.T.); +#10573=EDGE_CURVE('',#8385,#8404,#4610,.T.); +#10574=EDGE_CURVE('',#8385,#8386,#469,.F.); +#10575=EDGE_CURVE('',#8386,#8405,#4611,.T.); +#10576=EDGE_CURVE('',#8405,#8391,#4612,.T.); +#10577=EDGE_CURVE('',#8393,#8406,#4613,.T.); +#10578=EDGE_CURVE('',#8406,#8387,#4614,.T.); +#10579=EDGE_CURVE('',#8388,#8387,#470,.T.); +#10580=EDGE_CURVE('',#8407,#8388,#4615,.T.); +#10581=EDGE_CURVE('',#8389,#8407,#4616,.T.); +#10582=EDGE_CURVE('',#8390,#8389,#471,.F.); +#10583=EDGE_CURVE('',#8390,#8408,#4617,.T.); +#10584=EDGE_CURVE('',#8399,#8408,#4618,.T.); +#10585=EDGE_CURVE('',#8391,#8400,#160,.T.); +#10586=EDGE_CURVE('',#8399,#8393,#161,.T.); +#10587=EDGE_CURVE('',#8403,#8405,#472,.F.); +#10588=EDGE_CURVE('',#8403,#8404,#473,.F.); +#10589=EDGE_CURVE('',#8404,#8405,#474,.T.); +#10590=EDGE_CURVE('',#8408,#8406,#475,.T.); +#10591=EDGE_CURVE('',#8407,#8406,#476,.F.); +#10592=EDGE_CURVE('',#8407,#8408,#477,.F.); +#10593=EDGE_CURVE('',#8409,#8410,#1115,.T.); +#10594=EDGE_CURVE('',#8411,#8410,#4619,.T.); +#10595=EDGE_CURVE('',#8412,#8411,#1116,.T.); +#10596=EDGE_CURVE('',#8412,#8409,#4620,.T.); +#10597=EDGE_CURVE('',#8409,#8413,#4621,.T.); +#10598=EDGE_CURVE('',#8413,#8414,#478,.F.); +#10599=EDGE_CURVE('',#8410,#8414,#4622,.T.); +#10600=EDGE_CURVE('',#8415,#8411,#4623,.T.); +#10601=EDGE_CURVE('',#8416,#8415,#479,.F.); +#10602=EDGE_CURVE('',#8416,#8412,#4624,.T.); +#10603=EDGE_CURVE('',#8411,#8417,#480,.T.); +#10604=EDGE_CURVE('',#8410,#8418,#481,.T.); +#10605=EDGE_CURVE('',#8418,#8419,#482,.T.); +#10606=EDGE_CURVE('',#8419,#8420,#483,.T.); +#10607=EDGE_CURVE('',#8421,#8420,#4625,.T.); +#10608=EDGE_CURVE('',#8422,#8421,#484,.T.); +#10609=EDGE_CURVE('',#8417,#8422,#485,.T.); +#10610=EDGE_CURVE('',#8423,#8424,#486,.T.); +#10611=EDGE_CURVE('',#8424,#8425,#487,.T.); +#10612=EDGE_CURVE('',#8425,#8409,#488,.T.); +#10613=EDGE_CURVE('',#8412,#8426,#489,.T.); +#10614=EDGE_CURVE('',#8426,#8427,#490,.T.); +#10615=EDGE_CURVE('',#8427,#8428,#491,.T.); +#10616=EDGE_CURVE('',#8423,#8428,#4626,.T.); +#10617=EDGE_CURVE('',#8429,#8423,#4627,.T.); +#10618=EDGE_CURVE('',#8413,#8429,#4628,.T.); +#10619=EDGE_CURVE('',#8430,#8413,#492,.F.); +#10620=EDGE_CURVE('',#8430,#8414,#493,.F.); +#10621=EDGE_CURVE('',#8414,#8431,#4629,.T.); +#10622=EDGE_CURVE('',#8420,#8431,#4630,.T.); +#10623=EDGE_CURVE('',#8432,#8421,#4631,.T.); +#10624=EDGE_CURVE('',#8415,#8432,#4632,.T.); +#10625=EDGE_CURVE('',#8416,#8433,#494,.F.); +#10626=EDGE_CURVE('',#8433,#8415,#495,.T.); +#10627=EDGE_CURVE('',#8434,#8416,#4633,.T.); +#10628=EDGE_CURVE('',#8428,#8434,#4634,.T.); +#10629=EDGE_CURVE('',#8435,#8421,#1117,.T.); +#10630=EDGE_CURVE('',#8436,#8420,#1118,.T.); +#10631=EDGE_CURVE('',#8435,#8436,#4635,.T.); +#10632=EDGE_CURVE('',#8423,#8437,#1119,.T.); +#10633=EDGE_CURVE('',#8428,#8438,#1120,.T.); +#10634=EDGE_CURVE('',#8437,#8438,#4636,.T.); +#10635=EDGE_CURVE('',#8439,#8437,#4637,.T.); +#10636=EDGE_CURVE('',#8429,#8439,#496,.F.); +#10637=EDGE_CURVE('',#8429,#8440,#497,.F.); +#10638=EDGE_CURVE('',#8430,#8440,#4638,.T.); +#10639=EDGE_CURVE('',#8430,#8441,#4639,.T.); +#10640=EDGE_CURVE('',#8441,#8431,#498,.F.); +#10641=EDGE_CURVE('',#8442,#8431,#499,.F.); +#10642=EDGE_CURVE('',#8436,#8442,#4640,.T.); +#10643=EDGE_CURVE('',#8443,#8435,#4641,.T.); +#10644=EDGE_CURVE('',#8443,#8432,#500,.F.); +#10645=EDGE_CURVE('',#8433,#8444,#4642,.T.); +#10646=EDGE_CURVE('',#8444,#8432,#501,.F.); +#10647=EDGE_CURVE('',#8445,#8434,#502,.F.); +#10648=EDGE_CURVE('',#8445,#8433,#4643,.T.); +#10649=EDGE_CURVE('',#8434,#8446,#503,.F.); +#10650=EDGE_CURVE('',#8438,#8446,#4644,.T.); +#10651=EDGE_CURVE('',#8447,#8448,#504,.T.); +#10652=EDGE_CURVE('',#8447,#8449,#4645,.T.); +#10653=EDGE_CURVE('',#8450,#8449,#505,.T.); +#10654=EDGE_CURVE('',#8451,#8450,#506,.T.); +#10655=EDGE_CURVE('',#8435,#8451,#507,.T.); +#10656=EDGE_CURVE('',#8452,#8436,#508,.T.); +#10657=EDGE_CURVE('',#8448,#8452,#509,.T.); +#10658=EDGE_CURVE('',#8438,#8453,#510,.T.); +#10659=EDGE_CURVE('',#8453,#8454,#511,.T.); +#10660=EDGE_CURVE('',#8454,#8455,#512,.T.); +#10661=EDGE_CURVE('',#8456,#8455,#4646,.T.); +#10662=EDGE_CURVE('',#8457,#8456,#513,.T.); +#10663=EDGE_CURVE('',#8458,#8457,#514,.T.); +#10664=EDGE_CURVE('',#8437,#8458,#515,.T.); +#10665=EDGE_CURVE('',#8459,#8456,#4647,.T.); +#10666=EDGE_CURVE('',#8439,#8459,#4648,.T.); +#10667=EDGE_CURVE('',#8440,#8439,#516,.T.); +#10668=EDGE_CURVE('',#8440,#8460,#4649,.T.); +#10669=EDGE_CURVE('',#8441,#8460,#4650,.T.); +#10670=EDGE_CURVE('',#8442,#8441,#517,.F.); +#10671=EDGE_CURVE('',#8442,#8461,#4651,.T.); +#10672=EDGE_CURVE('',#8461,#8447,#4652,.T.); +#10673=EDGE_CURVE('',#8449,#8462,#4653,.T.); +#10674=EDGE_CURVE('',#8462,#8443,#4654,.T.); +#10675=EDGE_CURVE('',#8444,#8443,#518,.F.); +#10676=EDGE_CURVE('',#8445,#8463,#4655,.T.); +#10677=EDGE_CURVE('',#8463,#8444,#4656,.T.); +#10678=EDGE_CURVE('',#8445,#8446,#519,.F.); +#10679=EDGE_CURVE('',#8446,#8464,#4657,.T.); +#10680=EDGE_CURVE('',#8455,#8464,#4658,.T.); +#10681=EDGE_CURVE('',#8447,#8456,#1121,.T.); +#10682=EDGE_CURVE('',#8455,#8449,#1122,.T.); +#10683=EDGE_CURVE('',#8461,#8459,#520,.F.); +#10684=EDGE_CURVE('',#8460,#8459,#521,.F.); +#10685=EDGE_CURVE('',#8460,#8461,#522,.F.); +#10686=EDGE_CURVE('',#8462,#8464,#523,.F.); +#10687=EDGE_CURVE('',#8462,#8463,#524,.F.); +#10688=EDGE_CURVE('',#8463,#8464,#525,.F.); +#10689=EDGE_CURVE('',#8465,#8466,#4659,.T.); +#10690=EDGE_CURVE('',#8466,#8467,#4660,.T.); +#10691=EDGE_CURVE('',#8468,#8467,#4661,.T.); +#10692=EDGE_CURVE('',#8468,#8465,#4662,.T.); +#10693=EDGE_CURVE('',#8469,#8470,#4663,.T.); +#10694=EDGE_CURVE('',#8469,#8471,#4664,.T.); +#10695=EDGE_CURVE('',#8471,#8472,#4665,.T.); +#10696=EDGE_CURVE('',#8473,#8472,#4666,.T.); +#10697=EDGE_CURVE('',#8473,#8474,#4667,.T.); +#10698=EDGE_CURVE('',#8474,#8475,#4668,.T.); +#10699=EDGE_CURVE('',#8475,#8476,#4669,.T.); +#10700=EDGE_CURVE('',#8477,#8476,#4670,.T.); +#10701=EDGE_CURVE('',#8478,#8477,#4671,.T.); +#10702=EDGE_CURVE('',#8478,#8479,#4672,.T.); +#10703=EDGE_CURVE('',#8479,#8480,#4673,.T.); +#10704=EDGE_CURVE('',#8470,#8480,#4674,.T.); +#10705=EDGE_CURVE('',#8481,#8482,#4675,.T.); +#10706=EDGE_CURVE('',#8482,#8483,#4676,.T.); +#10707=EDGE_CURVE('',#8484,#8483,#4677,.T.); +#10708=EDGE_CURVE('',#8484,#8481,#4678,.T.); +#10709=EDGE_CURVE('',#8485,#8486,#4679,.T.); +#10710=EDGE_CURVE('',#8485,#8487,#4680,.T.); +#10711=EDGE_CURVE('',#8487,#8488,#4681,.T.); +#10712=EDGE_CURVE('',#8489,#8488,#4682,.T.); +#10713=EDGE_CURVE('',#8489,#8490,#4683,.T.); +#10714=EDGE_CURVE('',#8490,#8491,#4684,.T.); +#10715=EDGE_CURVE('',#8491,#8492,#4685,.T.); +#10716=EDGE_CURVE('',#8486,#8492,#4686,.T.); +#10717=EDGE_CURVE('',#8493,#8494,#4687,.T.); +#10718=EDGE_CURVE('',#8494,#8495,#4688,.T.); +#10719=EDGE_CURVE('',#8495,#8496,#4689,.T.); +#10720=EDGE_CURVE('',#8496,#8493,#4690,.T.); +#10721=EDGE_CURVE('',#8467,#8497,#4691,.T.); +#10722=EDGE_CURVE('',#8497,#8498,#4692,.T.); +#10723=EDGE_CURVE('',#8498,#8468,#4693,.T.); +#10724=EDGE_CURVE('',#8499,#8500,#4694,.T.); +#10725=EDGE_CURVE('',#8499,#8486,#4695,.T.); +#10726=EDGE_CURVE('',#8492,#8501,#4696,.T.); +#10727=EDGE_CURVE('',#8501,#8502,#4697,.T.); +#10728=EDGE_CURVE('',#8502,#8489,#4698,.T.); +#10729=EDGE_CURVE('',#8500,#8488,#4699,.T.); +#10730=EDGE_CURVE('',#8503,#8504,#4700,.T.); +#10731=EDGE_CURVE('',#8504,#8484,#4701,.T.); +#10732=EDGE_CURVE('',#8483,#8503,#4702,.T.); +#10733=EDGE_CURVE('',#8505,#8506,#4703,.T.); +#10734=EDGE_CURVE('',#8505,#8470,#4704,.T.); +#10735=EDGE_CURVE('',#8507,#8480,#4705,.T.); +#10736=EDGE_CURVE('',#8508,#8507,#4706,.T.); +#10737=EDGE_CURVE('',#8508,#8477,#4707,.T.); +#10738=EDGE_CURVE('',#8476,#8509,#4708,.T.); +#10739=EDGE_CURVE('',#8509,#8510,#4709,.T.); +#10740=EDGE_CURVE('',#8510,#8473,#4710,.T.); +#10741=EDGE_CURVE('',#8506,#8472,#4711,.T.); +#10742=EDGE_CURVE('',#8511,#8512,#4712,.T.); +#10743=EDGE_CURVE('',#8512,#8513,#4713,.T.); +#10744=EDGE_CURVE('',#8513,#8514,#4714,.T.); +#10745=EDGE_CURVE('',#8514,#8511,#4715,.T.); +#10746=EDGE_CURVE('',#8515,#8516,#4716,.T.); +#10747=EDGE_CURVE('',#8517,#8516,#4717,.T.); +#10748=EDGE_CURVE('',#8518,#8517,#4718,.T.); +#10749=EDGE_CURVE('',#8518,#8515,#4719,.T.); +#10750=EDGE_CURVE('',#8516,#8519,#4720,.T.); +#10751=EDGE_CURVE('',#8519,#8520,#4721,.T.); +#10752=EDGE_CURVE('',#8517,#8520,#4722,.T.); +#10753=EDGE_CURVE('',#8521,#8522,#4723,.T.); +#10754=EDGE_CURVE('',#8522,#8523,#4724,.T.); +#10755=EDGE_CURVE('',#8524,#8523,#4725,.T.); +#10756=EDGE_CURVE('',#8524,#8521,#4726,.T.); +#10757=EDGE_CURVE('',#8507,#8525,#4727,.T.); +#10758=EDGE_CURVE('',#8525,#8526,#4728,.T.); +#10759=EDGE_CURVE('',#8508,#8526,#4729,.T.); +#10760=EDGE_CURVE('',#8527,#8528,#4730,.T.); +#10761=EDGE_CURVE('',#8528,#8529,#4731,.T.); +#10762=EDGE_CURVE('',#8530,#8529,#4732,.T.); +#10763=EDGE_CURVE('',#8530,#8527,#4733,.T.); +#10764=EDGE_CURVE('',#8531,#8518,#4734,.T.); +#10765=EDGE_CURVE('',#8531,#8532,#4735,.T.); +#10766=EDGE_CURVE('',#8532,#8515,#4736,.T.); +#10767=EDGE_CURVE('',#8520,#8524,#526,.T.); +#10768=EDGE_CURVE('',#8523,#8478,#527,.T.); +#10769=EDGE_CURVE('',#8526,#8530,#528,.T.); +#10770=EDGE_CURVE('',#8529,#8531,#529,.T.); +#10771=EDGE_CURVE('',#8521,#8519,#530,.T.); +#10772=EDGE_CURVE('',#8532,#8528,#531,.T.); +#10773=EDGE_CURVE('',#8527,#8525,#532,.T.); +#10774=EDGE_CURVE('',#8479,#8522,#533,.T.); +#10775=EDGE_CURVE('',#8513,#8509,#534,.T.); +#10776=EDGE_CURVE('',#8510,#8512,#535,.T.); +#10777=EDGE_CURVE('',#8513,#8498,#536,.T.); +#10778=EDGE_CURVE('',#8498,#8509,#537,.T.); +#10779=EDGE_CURVE('',#8510,#8503,#538,.T.); +#10780=EDGE_CURVE('',#8503,#8512,#539,.T.); +#10781=EDGE_CURVE('',#8468,#8476,#1123,.T.); +#10782=EDGE_CURVE('',#8514,#8497,#540,.T.); +#10783=EDGE_CURVE('',#8511,#8501,#541,.T.); +#10784=EDGE_CURVE('',#8502,#8514,#542,.T.); +#10785=EDGE_CURVE('',#8504,#8511,#543,.T.); +#10786=EDGE_CURVE('',#8473,#8483,#1124,.T.); +#10787=EDGE_CURVE('',#8465,#8475,#544,.T.); +#10788=EDGE_CURVE('',#8502,#8497,#545,.T.); +#10789=EDGE_CURVE('',#8504,#8501,#546,.T.); +#10790=EDGE_CURVE('',#8474,#8482,#547,.T.); +#10791=EDGE_CURVE('',#8475,#8496,#548,.T.); +#10792=EDGE_CURVE('',#8493,#8474,#549,.T.); +#10793=EDGE_CURVE('',#8465,#8496,#550,.T.); +#10794=EDGE_CURVE('',#8489,#8467,#1125,.T.); +#10795=EDGE_CURVE('',#8484,#8492,#1126,.T.); +#10796=EDGE_CURVE('',#8493,#8482,#551,.T.); +#10797=EDGE_CURVE('',#8466,#8495,#552,.T.); +#10798=EDGE_CURVE('',#8490,#8466,#553,.T.); +#10799=EDGE_CURVE('',#8481,#8491,#554,.T.); +#10800=EDGE_CURVE('',#8494,#8481,#555,.T.); +#10801=EDGE_CURVE('',#8490,#8495,#556,.T.); +#10802=EDGE_CURVE('',#8494,#8491,#557,.T.); +#10803=EDGE_CURVE('',#8533,#8534,#4737,.T.); +#10804=EDGE_CURVE('',#8535,#8534,#4738,.T.); +#10805=EDGE_CURVE('',#8536,#8535,#4739,.T.); +#10806=EDGE_CURVE('',#8536,#8533,#4740,.T.); +#10807=EDGE_CURVE('',#8534,#8537,#4741,.T.); +#10808=EDGE_CURVE('',#8537,#8538,#4742,.T.); +#10809=EDGE_CURVE('',#8535,#8538,#4743,.T.); +#10810=EDGE_CURVE('',#8539,#8540,#4744,.T.); +#10811=EDGE_CURVE('',#8540,#8541,#4745,.T.); +#10812=EDGE_CURVE('',#8542,#8541,#4746,.T.); +#10813=EDGE_CURVE('',#8542,#8539,#4747,.T.); +#10814=EDGE_CURVE('',#8506,#8543,#4748,.T.); +#10815=EDGE_CURVE('',#8543,#8544,#4749,.T.); +#10816=EDGE_CURVE('',#8505,#8544,#4750,.T.); +#10817=EDGE_CURVE('',#8545,#8546,#4751,.T.); +#10818=EDGE_CURVE('',#8546,#8547,#4752,.T.); +#10819=EDGE_CURVE('',#8548,#8547,#4753,.T.); +#10820=EDGE_CURVE('',#8548,#8545,#4754,.T.); +#10821=EDGE_CURVE('',#8549,#8536,#4755,.T.); +#10822=EDGE_CURVE('',#8549,#8550,#4756,.T.); +#10823=EDGE_CURVE('',#8550,#8533,#4757,.T.); +#10824=EDGE_CURVE('',#8538,#8542,#558,.T.); +#10825=EDGE_CURVE('',#8541,#8469,#559,.T.); +#10826=EDGE_CURVE('',#8544,#8548,#560,.T.); +#10827=EDGE_CURVE('',#8547,#8549,#561,.T.); +#10828=EDGE_CURVE('',#8539,#8537,#562,.T.); +#10829=EDGE_CURVE('',#8550,#8546,#563,.T.); +#10830=EDGE_CURVE('',#8545,#8543,#564,.T.); +#10831=EDGE_CURVE('',#8471,#8540,#565,.T.); +#10832=EDGE_CURVE('',#8551,#8552,#4758,.T.); +#10833=EDGE_CURVE('',#8553,#8552,#4759,.T.); +#10834=EDGE_CURVE('',#8554,#8553,#4760,.T.); +#10835=EDGE_CURVE('',#8554,#8551,#4761,.T.); +#10836=EDGE_CURVE('',#8552,#8555,#4762,.T.); +#10837=EDGE_CURVE('',#8555,#8556,#4763,.T.); +#10838=EDGE_CURVE('',#8553,#8556,#4764,.T.); +#10839=EDGE_CURVE('',#8557,#8558,#4765,.T.); +#10840=EDGE_CURVE('',#8558,#8559,#4766,.T.); +#10841=EDGE_CURVE('',#8560,#8559,#4767,.T.); +#10842=EDGE_CURVE('',#8560,#8557,#4768,.T.); +#10843=EDGE_CURVE('',#8500,#8561,#4769,.T.); +#10844=EDGE_CURVE('',#8561,#8562,#4770,.T.); +#10845=EDGE_CURVE('',#8499,#8562,#4771,.T.); +#10846=EDGE_CURVE('',#8563,#8564,#4772,.T.); +#10847=EDGE_CURVE('',#8564,#8565,#4773,.T.); +#10848=EDGE_CURVE('',#8566,#8565,#4774,.T.); +#10849=EDGE_CURVE('',#8566,#8563,#4775,.T.); +#10850=EDGE_CURVE('',#8567,#8554,#4776,.T.); +#10851=EDGE_CURVE('',#8567,#8568,#4777,.T.); +#10852=EDGE_CURVE('',#8568,#8551,#4778,.T.); +#10853=EDGE_CURVE('',#8556,#8560,#566,.T.); +#10854=EDGE_CURVE('',#8559,#8485,#567,.T.); +#10855=EDGE_CURVE('',#8562,#8566,#568,.T.); +#10856=EDGE_CURVE('',#8565,#8567,#569,.T.); +#10857=EDGE_CURVE('',#8557,#8555,#570,.T.); +#10858=EDGE_CURVE('',#8568,#8564,#571,.T.); +#10859=EDGE_CURVE('',#8563,#8561,#572,.T.); +#10860=EDGE_CURVE('',#8487,#8558,#573,.T.); +#10861=EDGE_CURVE('',#8569,#8570,#4779,.T.); +#10862=EDGE_CURVE('',#8571,#8569,#4780,.T.); +#10863=EDGE_CURVE('',#8572,#8571,#4781,.T.); +#10864=EDGE_CURVE('',#8570,#8572,#4782,.T.); +#10865=EDGE_CURVE('',#8573,#8574,#4783,.T.); +#10866=EDGE_CURVE('',#8575,#8573,#4784,.T.); +#10867=EDGE_CURVE('',#8576,#8575,#4785,.T.); +#10868=EDGE_CURVE('',#8574,#8576,#4786,.T.); +#10869=EDGE_CURVE('',#8577,#8570,#4787,.T.); +#10870=EDGE_CURVE('',#8578,#8577,#4788,.T.); +#10871=EDGE_CURVE('',#8569,#8578,#4789,.T.); +#10872=EDGE_CURVE('',#8579,#8575,#4790,.T.); +#10873=EDGE_CURVE('',#8579,#8580,#4791,.T.); +#10874=EDGE_CURVE('',#8576,#8580,#4792,.T.); +#10875=EDGE_CURVE('',#8573,#8581,#4793,.T.); +#10876=EDGE_CURVE('',#8581,#8579,#4794,.T.); +#10877=EDGE_CURVE('',#8582,#8583,#4795,.T.); +#10878=EDGE_CURVE('',#8584,#8583,#4796,.T.); +#10879=EDGE_CURVE('',#8584,#8585,#4797,.T.); +#10880=EDGE_CURVE('',#8582,#8585,#4798,.T.); +#10881=EDGE_CURVE('',#8586,#8574,#4799,.T.); +#10882=EDGE_CURVE('',#8581,#8586,#4800,.T.); +#10883=EDGE_CURVE('',#8587,#8577,#4801,.T.); +#10884=EDGE_CURVE('',#8588,#8587,#4802,.T.); +#10885=EDGE_CURVE('',#8578,#8588,#4803,.T.); +#10886=EDGE_CURVE('',#8583,#8589,#4804,.T.); +#10887=EDGE_CURVE('',#8589,#8590,#4805,.T.); +#10888=EDGE_CURVE('',#8590,#8584,#4806,.T.); +#10889=EDGE_CURVE('',#8591,#8592,#4807,.T.); +#10890=EDGE_CURVE('',#8592,#8593,#4808,.T.); +#10891=EDGE_CURVE('',#8593,#8594,#4809,.T.); +#10892=EDGE_CURVE('',#8594,#8591,#4810,.T.); +#10893=EDGE_CURVE('',#8580,#8586,#4811,.T.); +#10894=EDGE_CURVE('',#8591,#8595,#4812,.T.); +#10895=EDGE_CURVE('',#8595,#8596,#4813,.T.); +#10896=EDGE_CURVE('',#8592,#8596,#4814,.T.); +#10897=EDGE_CURVE('',#8594,#8597,#4815,.T.); +#10898=EDGE_CURVE('',#8597,#8595,#4816,.T.); +#10899=EDGE_CURVE('',#8593,#8598,#4817,.T.); +#10900=EDGE_CURVE('',#8598,#8597,#4818,.T.); +#10901=EDGE_CURVE('',#8596,#8598,#4819,.T.); +#10902=EDGE_CURVE('',#8588,#8571,#4820,.T.); +#10903=EDGE_CURVE('',#8572,#8587,#4821,.T.); +#10904=EDGE_CURVE('',#8599,#8582,#4822,.T.); +#10905=EDGE_CURVE('',#8589,#8599,#4823,.T.); +#10906=EDGE_CURVE('',#8600,#8590,#4824,.T.); +#10907=EDGE_CURVE('',#8585,#8600,#4825,.T.); +#10908=EDGE_CURVE('',#8600,#8599,#4826,.T.); +#10909=EDGE_CURVE('',#8601,#8602,#4827,.T.); +#10910=EDGE_CURVE('',#8601,#8603,#4828,.T.); +#10911=EDGE_CURVE('',#8603,#8604,#4829,.T.); +#10912=EDGE_CURVE('',#8604,#8602,#4830,.T.); +#10913=EDGE_CURVE('',#8605,#8606,#4831,.T.); +#10914=EDGE_CURVE('',#8607,#8605,#4832,.T.); +#10915=EDGE_CURVE('',#8607,#8608,#4833,.T.); +#10916=EDGE_CURVE('',#8609,#8608,#4834,.T.); +#10917=EDGE_CURVE('',#8610,#8609,#4835,.T.); +#10918=EDGE_CURVE('',#8611,#8610,#4836,.T.); +#10919=EDGE_CURVE('',#8611,#8612,#4837,.T.); +#10920=EDGE_CURVE('',#8613,#8612,#4838,.T.); +#10921=EDGE_CURVE('',#8614,#8613,#4839,.T.); +#10922=EDGE_CURVE('',#8615,#8614,#4840,.T.); +#10923=EDGE_CURVE('',#8615,#8616,#4841,.T.); +#10924=EDGE_CURVE('',#8616,#8617,#4842,.T.); +#10925=EDGE_CURVE('',#8617,#8618,#4843,.T.); +#10926=EDGE_CURVE('',#8619,#8618,#4844,.T.); +#10927=EDGE_CURVE('',#8619,#8620,#4845,.T.); +#10928=EDGE_CURVE('',#8606,#8620,#4846,.T.); +#10929=EDGE_CURVE('',#8621,#8622,#4847,.T.); +#10930=EDGE_CURVE('',#8622,#8623,#4848,.T.); +#10931=EDGE_CURVE('',#8624,#8623,#4849,.T.); +#10932=EDGE_CURVE('',#8624,#8621,#4850,.T.); +#10933=EDGE_CURVE('',#8625,#8626,#4851,.T.); +#10934=EDGE_CURVE('',#8625,#8627,#4852,.T.); +#10935=EDGE_CURVE('',#8627,#8628,#4853,.T.); +#10936=EDGE_CURVE('',#8629,#8628,#4854,.T.); +#10937=EDGE_CURVE('',#8629,#8630,#4855,.T.); +#10938=EDGE_CURVE('',#8630,#8631,#4856,.T.); +#10939=EDGE_CURVE('',#8631,#8632,#4857,.T.); +#10940=EDGE_CURVE('',#8633,#8632,#4858,.T.); +#10941=EDGE_CURVE('',#8634,#8633,#4859,.T.); +#10942=EDGE_CURVE('',#8634,#8635,#4860,.T.); +#10943=EDGE_CURVE('',#8635,#8636,#4861,.T.); +#10944=EDGE_CURVE('',#8637,#8636,#4862,.T.); +#10945=EDGE_CURVE('',#8638,#8637,#4863,.T.); +#10946=EDGE_CURVE('',#8638,#8639,#4864,.T.); +#10947=EDGE_CURVE('',#8639,#8640,#4865,.T.); +#10948=EDGE_CURVE('',#8626,#8640,#4866,.T.); +#10949=EDGE_CURVE('',#8641,#8642,#4867,.T.); +#10950=EDGE_CURVE('',#8642,#8643,#4868,.T.); +#10951=EDGE_CURVE('',#8643,#8644,#4869,.T.); +#10952=EDGE_CURVE('',#8644,#8641,#4870,.T.); +#10953=EDGE_CURVE('',#8645,#8646,#4871,.T.); +#10954=EDGE_CURVE('',#8646,#8601,#4872,.T.); +#10955=EDGE_CURVE('',#8602,#8645,#4873,.T.); +#10956=EDGE_CURVE('',#8647,#8648,#4874,.T.); +#10957=EDGE_CURVE('',#8647,#8626,#4875,.T.); +#10958=EDGE_CURVE('',#8649,#8640,#4876,.T.); +#10959=EDGE_CURVE('',#8650,#8649,#4877,.T.); +#10960=EDGE_CURVE('',#8650,#8637,#4878,.T.); +#10961=EDGE_CURVE('',#8651,#8636,#4879,.T.); +#10962=EDGE_CURVE('',#8652,#8651,#4880,.T.); +#10963=EDGE_CURVE('',#8652,#8633,#4881,.T.); +#10964=EDGE_CURVE('',#8632,#8653,#4882,.T.); +#10965=EDGE_CURVE('',#8653,#8654,#4883,.T.); +#10966=EDGE_CURVE('',#8654,#8629,#4884,.T.); +#10967=EDGE_CURVE('',#8648,#8628,#4885,.T.); +#10968=EDGE_CURVE('',#8623,#8655,#4886,.T.); +#10969=EDGE_CURVE('',#8655,#8656,#4887,.T.); +#10970=EDGE_CURVE('',#8656,#8624,#4888,.T.); +#10971=EDGE_CURVE('',#8605,#8657,#4889,.T.); +#10972=EDGE_CURVE('',#8657,#8658,#4890,.T.); +#10973=EDGE_CURVE('',#8619,#8658,#4891,.T.); +#10974=EDGE_CURVE('',#8618,#8659,#4892,.T.); +#10975=EDGE_CURVE('',#8659,#8660,#4893,.T.); +#10976=EDGE_CURVE('',#8660,#8615,#4894,.T.); +#10977=EDGE_CURVE('',#8614,#8661,#4895,.T.); +#10978=EDGE_CURVE('',#8661,#8662,#4896,.T.); +#10979=EDGE_CURVE('',#8611,#8662,#4897,.T.); +#10980=EDGE_CURVE('',#8610,#8663,#4898,.T.); +#10981=EDGE_CURVE('',#8663,#8664,#4899,.T.); +#10982=EDGE_CURVE('',#8607,#8664,#4900,.T.); +#10983=EDGE_CURVE('',#8665,#8666,#4901,.T.); +#10984=EDGE_CURVE('',#8666,#8667,#4902,.T.); +#10985=EDGE_CURVE('',#8667,#8668,#4903,.T.); +#10986=EDGE_CURVE('',#8668,#8665,#4904,.T.); +#10987=EDGE_CURVE('',#8667,#8659,#574,.T.); +#10988=EDGE_CURVE('',#8660,#8666,#575,.T.); +#10989=EDGE_CURVE('',#8667,#8646,#576,.T.); +#10990=EDGE_CURVE('',#8646,#8659,#577,.T.); +#10991=EDGE_CURVE('',#8660,#8655,#578,.T.); +#10992=EDGE_CURVE('',#8655,#8666,#579,.T.); +#10993=EDGE_CURVE('',#8601,#8618,#1127,.T.); +#10994=EDGE_CURVE('',#8668,#8645,#580,.T.); +#10995=EDGE_CURVE('',#8665,#8653,#581,.T.); +#10996=EDGE_CURVE('',#8654,#8668,#582,.T.); +#10997=EDGE_CURVE('',#8656,#8665,#583,.T.); +#10998=EDGE_CURVE('',#8615,#8623,#1128,.T.); +#10999=EDGE_CURVE('',#8603,#8617,#584,.T.); +#11000=EDGE_CURVE('',#8654,#8645,#585,.T.); +#11001=EDGE_CURVE('',#8656,#8653,#586,.T.); +#11002=EDGE_CURVE('',#8616,#8622,#587,.T.); +#11003=EDGE_CURVE('',#8617,#8644,#588,.T.); +#11004=EDGE_CURVE('',#8641,#8616,#589,.T.); +#11005=EDGE_CURVE('',#8603,#8644,#590,.T.); +#11006=EDGE_CURVE('',#8629,#8602,#1129,.T.); +#11007=EDGE_CURVE('',#8624,#8632,#1130,.T.); +#11008=EDGE_CURVE('',#8641,#8622,#591,.T.); +#11009=EDGE_CURVE('',#8604,#8643,#592,.T.); +#11010=EDGE_CURVE('',#8630,#8604,#593,.T.); +#11011=EDGE_CURVE('',#8621,#8631,#594,.T.); +#11012=EDGE_CURVE('',#8642,#8621,#595,.T.); +#11013=EDGE_CURVE('',#8630,#8643,#596,.T.); +#11014=EDGE_CURVE('',#8642,#8631,#597,.T.); +#11015=EDGE_CURVE('',#8669,#8670,#4905,.T.); +#11016=EDGE_CURVE('',#8671,#8670,#4906,.T.); +#11017=EDGE_CURVE('',#8672,#8671,#4907,.T.); +#11018=EDGE_CURVE('',#8672,#8669,#4908,.T.); +#11019=EDGE_CURVE('',#8670,#8673,#4909,.T.); +#11020=EDGE_CURVE('',#8673,#8674,#4910,.T.); +#11021=EDGE_CURVE('',#8671,#8674,#4911,.T.); +#11022=EDGE_CURVE('',#8675,#8676,#4912,.T.); +#11023=EDGE_CURVE('',#8675,#8677,#4913,.T.); +#11024=EDGE_CURVE('',#8677,#8678,#4914,.T.); +#11025=EDGE_CURVE('',#8678,#8676,#4915,.T.); +#11026=EDGE_CURVE('',#8679,#8634,#4916,.T.); +#11027=EDGE_CURVE('',#8679,#8680,#4917,.T.); +#11028=EDGE_CURVE('',#8680,#8635,#4918,.T.); +#11029=EDGE_CURVE('',#8651,#8681,#4919,.T.); +#11030=EDGE_CURVE('',#8681,#8682,#4920,.T.); +#11031=EDGE_CURVE('',#8652,#8682,#4921,.T.); +#11032=EDGE_CURVE('',#8683,#8684,#4922,.T.); +#11033=EDGE_CURVE('',#8684,#8685,#4923,.T.); +#11034=EDGE_CURVE('',#8686,#8685,#4924,.T.); +#11035=EDGE_CURVE('',#8686,#8683,#4925,.T.); +#11036=EDGE_CURVE('',#8687,#8672,#4926,.T.); +#11037=EDGE_CURVE('',#8687,#8688,#4927,.T.); +#11038=EDGE_CURVE('',#8688,#8669,#4928,.T.); +#11039=EDGE_CURVE('',#8674,#8675,#598,.T.); +#11040=EDGE_CURVE('',#8676,#8679,#599,.T.); +#11041=EDGE_CURVE('',#8682,#8686,#600,.T.); +#11042=EDGE_CURVE('',#8685,#8687,#601,.T.); +#11043=EDGE_CURVE('',#8677,#8673,#602,.T.); +#11044=EDGE_CURVE('',#8688,#8684,#603,.T.); +#11045=EDGE_CURVE('',#8683,#8681,#604,.T.); +#11046=EDGE_CURVE('',#8680,#8678,#605,.T.); +#11047=EDGE_CURVE('',#8689,#8690,#4929,.T.); +#11048=EDGE_CURVE('',#8691,#8690,#4930,.T.); +#11049=EDGE_CURVE('',#8692,#8691,#4931,.T.); +#11050=EDGE_CURVE('',#8692,#8689,#4932,.T.); +#11051=EDGE_CURVE('',#8690,#8693,#4933,.T.); +#11052=EDGE_CURVE('',#8693,#8694,#4934,.T.); +#11053=EDGE_CURVE('',#8691,#8694,#4935,.T.); +#11054=EDGE_CURVE('',#8695,#8696,#4936,.T.); +#11055=EDGE_CURVE('',#8695,#8697,#4937,.T.); +#11056=EDGE_CURVE('',#8697,#8698,#4938,.T.); +#11057=EDGE_CURVE('',#8698,#8696,#4939,.T.); +#11058=EDGE_CURVE('',#8699,#8638,#4940,.T.); +#11059=EDGE_CURVE('',#8699,#8700,#4941,.T.); +#11060=EDGE_CURVE('',#8700,#8639,#4942,.T.); +#11061=EDGE_CURVE('',#8649,#8701,#4943,.T.); +#11062=EDGE_CURVE('',#8701,#8702,#4944,.T.); +#11063=EDGE_CURVE('',#8650,#8702,#4945,.T.); +#11064=EDGE_CURVE('',#8703,#8704,#4946,.T.); +#11065=EDGE_CURVE('',#8704,#8705,#4947,.T.); +#11066=EDGE_CURVE('',#8706,#8705,#4948,.T.); +#11067=EDGE_CURVE('',#8706,#8703,#4949,.T.); +#11068=EDGE_CURVE('',#8707,#8692,#4950,.T.); +#11069=EDGE_CURVE('',#8707,#8708,#4951,.T.); +#11070=EDGE_CURVE('',#8708,#8689,#4952,.T.); +#11071=EDGE_CURVE('',#8694,#8695,#606,.T.); +#11072=EDGE_CURVE('',#8696,#8699,#607,.T.); +#11073=EDGE_CURVE('',#8702,#8706,#608,.T.); +#11074=EDGE_CURVE('',#8705,#8707,#609,.T.); +#11075=EDGE_CURVE('',#8697,#8693,#610,.T.); +#11076=EDGE_CURVE('',#8708,#8704,#611,.T.); +#11077=EDGE_CURVE('',#8703,#8701,#612,.T.); +#11078=EDGE_CURVE('',#8700,#8698,#613,.T.); +#11079=EDGE_CURVE('',#8709,#8710,#4953,.T.); +#11080=EDGE_CURVE('',#8711,#8710,#4954,.T.); +#11081=EDGE_CURVE('',#8712,#8711,#4955,.T.); +#11082=EDGE_CURVE('',#8712,#8709,#4956,.T.); +#11083=EDGE_CURVE('',#8710,#8713,#4957,.T.); +#11084=EDGE_CURVE('',#8713,#8714,#4958,.T.); +#11085=EDGE_CURVE('',#8711,#8714,#4959,.T.); +#11086=EDGE_CURVE('',#8715,#8716,#4960,.T.); +#11087=EDGE_CURVE('',#8715,#8717,#4961,.T.); +#11088=EDGE_CURVE('',#8717,#8718,#4962,.T.); +#11089=EDGE_CURVE('',#8718,#8716,#4963,.T.); +#11090=EDGE_CURVE('',#8719,#8625,#4964,.T.); +#11091=EDGE_CURVE('',#8719,#8720,#4965,.T.); +#11092=EDGE_CURVE('',#8720,#8627,#4966,.T.); +#11093=EDGE_CURVE('',#8648,#8721,#4967,.T.); +#11094=EDGE_CURVE('',#8721,#8722,#4968,.T.); +#11095=EDGE_CURVE('',#8647,#8722,#4969,.T.); +#11096=EDGE_CURVE('',#8723,#8724,#4970,.T.); +#11097=EDGE_CURVE('',#8724,#8725,#4971,.T.); +#11098=EDGE_CURVE('',#8726,#8725,#4972,.T.); +#11099=EDGE_CURVE('',#8726,#8723,#4973,.T.); +#11100=EDGE_CURVE('',#8727,#8712,#4974,.T.); +#11101=EDGE_CURVE('',#8727,#8728,#4975,.T.); +#11102=EDGE_CURVE('',#8728,#8709,#4976,.T.); +#11103=EDGE_CURVE('',#8714,#8715,#614,.T.); +#11104=EDGE_CURVE('',#8716,#8719,#615,.T.); +#11105=EDGE_CURVE('',#8722,#8726,#616,.T.); +#11106=EDGE_CURVE('',#8725,#8727,#617,.T.); +#11107=EDGE_CURVE('',#8717,#8713,#618,.T.); +#11108=EDGE_CURVE('',#8728,#8724,#619,.T.); +#11109=EDGE_CURVE('',#8723,#8721,#620,.T.); +#11110=EDGE_CURVE('',#8720,#8718,#621,.T.); +#11111=EDGE_CURVE('',#8729,#8730,#4977,.T.); +#11112=EDGE_CURVE('',#8731,#8729,#4978,.T.); +#11113=EDGE_CURVE('',#8731,#8732,#4979,.T.); +#11114=EDGE_CURVE('',#8732,#8730,#4980,.T.); +#11115=EDGE_CURVE('',#8733,#8729,#4981,.T.); +#11116=EDGE_CURVE('',#8733,#8734,#4982,.T.); +#11117=EDGE_CURVE('',#8734,#8731,#4983,.T.); +#11118=EDGE_CURVE('',#8735,#8736,#4984,.T.); +#11119=EDGE_CURVE('',#8736,#8737,#4985,.T.); +#11120=EDGE_CURVE('',#8738,#8737,#4986,.T.); +#11121=EDGE_CURVE('',#8738,#8735,#4987,.T.); +#11122=EDGE_CURVE('',#8661,#8739,#4988,.T.); +#11123=EDGE_CURVE('',#8739,#8740,#4989,.T.); +#11124=EDGE_CURVE('',#8662,#8740,#4990,.T.); +#11125=EDGE_CURVE('',#8741,#8612,#4991,.T.); +#11126=EDGE_CURVE('',#8741,#8742,#4992,.T.); +#11127=EDGE_CURVE('',#8742,#8613,#4993,.T.); +#11128=EDGE_CURVE('',#8743,#8744,#4994,.T.); +#11129=EDGE_CURVE('',#8743,#8745,#4995,.T.); +#11130=EDGE_CURVE('',#8745,#8746,#4996,.T.); +#11131=EDGE_CURVE('',#8746,#8744,#4997,.T.); +#11132=EDGE_CURVE('',#8732,#8747,#4998,.T.); +#11133=EDGE_CURVE('',#8747,#8748,#4999,.T.); +#11134=EDGE_CURVE('',#8730,#8748,#5000,.T.); +#11135=EDGE_CURVE('',#8745,#8747,#622,.T.); +#11136=EDGE_CURVE('',#8734,#8736,#623,.T.); +#11137=EDGE_CURVE('',#8735,#8739,#624,.T.); +#11138=EDGE_CURVE('',#8742,#8746,#625,.T.); +#11139=EDGE_CURVE('',#8748,#8743,#626,.T.); +#11140=EDGE_CURVE('',#8744,#8741,#627,.T.); +#11141=EDGE_CURVE('',#8740,#8738,#628,.T.); +#11142=EDGE_CURVE('',#8737,#8733,#629,.T.); +#11143=EDGE_CURVE('',#8749,#8750,#5001,.T.); +#11144=EDGE_CURVE('',#8751,#8749,#5002,.T.); +#11145=EDGE_CURVE('',#8751,#8752,#5003,.T.); +#11146=EDGE_CURVE('',#8752,#8750,#5004,.T.); +#11147=EDGE_CURVE('',#8753,#8749,#5005,.T.); +#11148=EDGE_CURVE('',#8753,#8754,#5006,.T.); +#11149=EDGE_CURVE('',#8754,#8751,#5007,.T.); +#11150=EDGE_CURVE('',#8755,#8756,#5008,.T.); +#11151=EDGE_CURVE('',#8756,#8757,#5009,.T.); +#11152=EDGE_CURVE('',#8758,#8757,#5010,.T.); +#11153=EDGE_CURVE('',#8758,#8755,#5011,.T.); +#11154=EDGE_CURVE('',#8663,#8759,#5012,.T.); +#11155=EDGE_CURVE('',#8759,#8760,#5013,.T.); +#11156=EDGE_CURVE('',#8664,#8760,#5014,.T.); +#11157=EDGE_CURVE('',#8761,#8608,#5015,.T.); +#11158=EDGE_CURVE('',#8761,#8762,#5016,.T.); +#11159=EDGE_CURVE('',#8762,#8609,#5017,.T.); +#11160=EDGE_CURVE('',#8763,#8764,#5018,.T.); +#11161=EDGE_CURVE('',#8763,#8765,#5019,.T.); +#11162=EDGE_CURVE('',#8765,#8766,#5020,.T.); +#11163=EDGE_CURVE('',#8766,#8764,#5021,.T.); +#11164=EDGE_CURVE('',#8752,#8767,#5022,.T.); +#11165=EDGE_CURVE('',#8767,#8768,#5023,.T.); +#11166=EDGE_CURVE('',#8750,#8768,#5024,.T.); +#11167=EDGE_CURVE('',#8765,#8767,#630,.T.); +#11168=EDGE_CURVE('',#8754,#8756,#631,.T.); +#11169=EDGE_CURVE('',#8755,#8759,#632,.T.); +#11170=EDGE_CURVE('',#8762,#8766,#633,.T.); +#11171=EDGE_CURVE('',#8768,#8763,#634,.T.); +#11172=EDGE_CURVE('',#8764,#8761,#635,.T.); +#11173=EDGE_CURVE('',#8760,#8758,#636,.T.); +#11174=EDGE_CURVE('',#8757,#8753,#637,.T.); +#11175=EDGE_CURVE('',#8769,#8770,#5025,.T.); +#11176=EDGE_CURVE('',#8771,#8769,#5026,.T.); +#11177=EDGE_CURVE('',#8771,#8772,#5027,.T.); +#11178=EDGE_CURVE('',#8772,#8770,#5028,.T.); +#11179=EDGE_CURVE('',#8773,#8769,#5029,.T.); +#11180=EDGE_CURVE('',#8773,#8774,#5030,.T.); +#11181=EDGE_CURVE('',#8774,#8771,#5031,.T.); +#11182=EDGE_CURVE('',#8775,#8776,#5032,.T.); +#11183=EDGE_CURVE('',#8776,#8777,#5033,.T.); +#11184=EDGE_CURVE('',#8778,#8777,#5034,.T.); +#11185=EDGE_CURVE('',#8778,#8775,#5035,.T.); +#11186=EDGE_CURVE('',#8657,#8779,#5036,.T.); +#11187=EDGE_CURVE('',#8779,#8780,#5037,.T.); +#11188=EDGE_CURVE('',#8658,#8780,#5038,.T.); +#11189=EDGE_CURVE('',#8781,#8620,#5039,.T.); +#11190=EDGE_CURVE('',#8781,#8782,#5040,.T.); +#11191=EDGE_CURVE('',#8782,#8606,#5041,.T.); +#11192=EDGE_CURVE('',#8783,#8784,#5042,.T.); +#11193=EDGE_CURVE('',#8783,#8785,#5043,.T.); +#11194=EDGE_CURVE('',#8785,#8786,#5044,.T.); +#11195=EDGE_CURVE('',#8786,#8784,#5045,.T.); +#11196=EDGE_CURVE('',#8772,#8787,#5046,.T.); +#11197=EDGE_CURVE('',#8787,#8788,#5047,.T.); +#11198=EDGE_CURVE('',#8770,#8788,#5048,.T.); +#11199=EDGE_CURVE('',#8785,#8787,#638,.T.); +#11200=EDGE_CURVE('',#8774,#8776,#639,.T.); +#11201=EDGE_CURVE('',#8775,#8779,#640,.T.); +#11202=EDGE_CURVE('',#8782,#8786,#641,.T.); +#11203=EDGE_CURVE('',#8788,#8783,#642,.T.); +#11204=EDGE_CURVE('',#8784,#8781,#643,.T.); +#11205=EDGE_CURVE('',#8780,#8778,#644,.T.); +#11206=EDGE_CURVE('',#8777,#8773,#645,.T.); +#11207=EDGE_CURVE('',#8789,#8790,#5049,.T.); +#11208=EDGE_CURVE('',#8791,#8789,#5050,.T.); +#11209=EDGE_CURVE('',#8792,#8791,#646,.T.); +#11210=EDGE_CURVE('',#8793,#8792,#5051,.T.); +#11211=EDGE_CURVE('',#8794,#8793,#5052,.T.); +#11212=EDGE_CURVE('',#8790,#8794,#647,.T.); +#11213=EDGE_CURVE('',#8795,#8795,#648,.T.); +#11214=EDGE_CURVE('',#8796,#8797,#649,.T.); +#11215=EDGE_CURVE('',#8796,#8792,#5053,.T.); +#11216=EDGE_CURVE('',#8797,#8791,#5054,.T.); +#11217=EDGE_CURVE('',#8789,#8798,#5055,.T.); +#11218=EDGE_CURVE('',#8798,#8799,#5056,.T.); +#11219=EDGE_CURVE('',#8800,#8799,#5057,.T.); +#11220=EDGE_CURVE('',#8801,#8800,#5058,.T.); +#11221=EDGE_CURVE('',#8802,#8801,#5059,.T.); +#11222=EDGE_CURVE('',#8797,#8802,#5060,.T.); +#11223=EDGE_CURVE('',#8790,#8803,#5061,.T.); +#11224=EDGE_CURVE('',#8798,#8803,#5062,.T.); +#11225=EDGE_CURVE('',#8804,#8794,#5063,.T.); +#11226=EDGE_CURVE('',#8804,#8803,#650,.T.); +#11227=EDGE_CURVE('',#8805,#8793,#5064,.T.); +#11228=EDGE_CURVE('',#8804,#8805,#5065,.T.); +#11229=EDGE_CURVE('',#8806,#8807,#5066,.T.); +#11230=EDGE_CURVE('',#8808,#8806,#5067,.T.); +#11231=EDGE_CURVE('',#8808,#8809,#5068,.T.); +#11232=EDGE_CURVE('',#8809,#8805,#5069,.T.); +#11233=EDGE_CURVE('',#8807,#8796,#5070,.T.); +#11234=EDGE_CURVE('',#8810,#8811,#651,.T.); +#11235=EDGE_CURVE('',#8810,#8812,#5071,.T.); +#11236=EDGE_CURVE('',#8812,#8813,#652,.T.); +#11237=EDGE_CURVE('',#8811,#8813,#5072,.T.); +#11238=EDGE_CURVE('',#8814,#8815,#5073,.T.); +#11239=EDGE_CURVE('',#8816,#8814,#653,.T.); +#11240=EDGE_CURVE('',#8817,#8816,#5074,.T.); +#11241=EDGE_CURVE('',#8813,#8817,#5075,.T.); +#11242=EDGE_CURVE('',#8815,#8812,#5076,.T.); +#11243=EDGE_CURVE('',#8818,#8818,#654,.T.); +#11244=EDGE_CURVE('',#8819,#8820,#5077,.T.); +#11245=EDGE_CURVE('',#8821,#8819,#5078,.T.); +#11246=EDGE_CURVE('',#8822,#8821,#655,.T.); +#11247=EDGE_CURVE('',#8823,#8822,#5079,.T.); +#11248=EDGE_CURVE('',#8824,#8823,#5080,.T.); +#11249=EDGE_CURVE('',#8820,#8824,#656,.T.); +#11250=EDGE_CURVE('',#8825,#8825,#657,.T.); +#11251=EDGE_CURVE('',#8826,#8827,#658,.T.); +#11252=EDGE_CURVE('',#8826,#8822,#5081,.T.); +#11253=EDGE_CURVE('',#8827,#8821,#5082,.T.); +#11254=EDGE_CURVE('',#8828,#8829,#659,.T.); +#11255=EDGE_CURVE('',#8828,#8830,#5083,.T.); +#11256=EDGE_CURVE('',#8830,#8831,#660,.T.); +#11257=EDGE_CURVE('',#8829,#8831,#5084,.T.); +#11258=EDGE_CURVE('',#8832,#8833,#5085,.T.); +#11259=EDGE_CURVE('',#8834,#8832,#661,.T.); +#11260=EDGE_CURVE('',#8835,#8834,#5086,.T.); +#11261=EDGE_CURVE('',#8831,#8835,#5087,.T.); +#11262=EDGE_CURVE('',#8833,#8830,#5088,.T.); +#11263=EDGE_CURVE('',#8836,#8836,#662,.T.); +#11264=EDGE_CURVE('',#8837,#8838,#663,.T.); +#11265=EDGE_CURVE('',#8837,#8839,#5089,.T.); +#11266=EDGE_CURVE('',#8839,#8840,#664,.T.); +#11267=EDGE_CURVE('',#8838,#8840,#5090,.T.); +#11268=EDGE_CURVE('',#8841,#8842,#5091,.T.); +#11269=EDGE_CURVE('',#8843,#8841,#665,.T.); +#11270=EDGE_CURVE('',#8844,#8843,#5092,.T.); +#11271=EDGE_CURVE('',#8840,#8844,#5093,.T.); +#11272=EDGE_CURVE('',#8842,#8839,#5094,.T.); +#11273=EDGE_CURVE('',#8845,#8845,#666,.T.); +#11274=EDGE_CURVE('',#8846,#8847,#667,.T.); +#11275=EDGE_CURVE('',#8846,#8848,#5095,.T.); +#11276=EDGE_CURVE('',#8848,#8849,#668,.T.); +#11277=EDGE_CURVE('',#8847,#8849,#5096,.T.); +#11278=EDGE_CURVE('',#8850,#8851,#5097,.T.); +#11279=EDGE_CURVE('',#8852,#8850,#669,.T.); +#11280=EDGE_CURVE('',#8853,#8852,#5098,.T.); +#11281=EDGE_CURVE('',#8849,#8853,#5099,.T.); +#11282=EDGE_CURVE('',#8851,#8848,#5100,.T.); +#11283=EDGE_CURVE('',#8854,#8854,#670,.T.); +#11284=EDGE_CURVE('',#8855,#8856,#671,.T.); +#11285=EDGE_CURVE('',#8855,#8857,#5101,.T.); +#11286=EDGE_CURVE('',#8857,#8858,#672,.T.); +#11287=EDGE_CURVE('',#8856,#8858,#5102,.T.); +#11288=EDGE_CURVE('',#8859,#8860,#5103,.T.); +#11289=EDGE_CURVE('',#8861,#8859,#673,.T.); +#11290=EDGE_CURVE('',#8862,#8861,#5104,.T.); +#11291=EDGE_CURVE('',#8858,#8862,#5105,.T.); +#11292=EDGE_CURVE('',#8860,#8857,#5106,.T.); +#11293=EDGE_CURVE('',#8863,#8863,#674,.T.); +#11294=EDGE_CURVE('',#8864,#8865,#5107,.T.); +#11295=EDGE_CURVE('',#8866,#8864,#5108,.T.); +#11296=EDGE_CURVE('',#8867,#8866,#675,.T.); +#11297=EDGE_CURVE('',#8868,#8867,#5109,.T.); +#11298=EDGE_CURVE('',#8869,#8868,#5110,.T.); +#11299=EDGE_CURVE('',#8865,#8869,#676,.T.); +#11300=EDGE_CURVE('',#8870,#8870,#677,.T.); +#11301=EDGE_CURVE('',#8871,#8872,#678,.T.); +#11302=EDGE_CURVE('',#8871,#8867,#5111,.T.); +#11303=EDGE_CURVE('',#8872,#8866,#5112,.T.); +#11304=EDGE_CURVE('',#8873,#8874,#679,.T.); +#11305=EDGE_CURVE('',#8873,#8875,#5113,.T.); +#11306=EDGE_CURVE('',#8875,#8876,#680,.T.); +#11307=EDGE_CURVE('',#8874,#8876,#5114,.T.); +#11308=EDGE_CURVE('',#8877,#8878,#5115,.T.); +#11309=EDGE_CURVE('',#8879,#8877,#681,.T.); +#11310=EDGE_CURVE('',#8880,#8879,#5116,.T.); +#11311=EDGE_CURVE('',#8876,#8880,#5117,.T.); +#11312=EDGE_CURVE('',#8878,#8875,#5118,.T.); +#11313=EDGE_CURVE('',#8881,#8881,#682,.T.); +#11314=EDGE_CURVE('',#8882,#8883,#5119,.T.); +#11315=EDGE_CURVE('',#8884,#8882,#5120,.T.); +#11316=EDGE_CURVE('',#8885,#8884,#683,.T.); +#11317=EDGE_CURVE('',#8886,#8885,#5121,.T.); +#11318=EDGE_CURVE('',#8887,#8886,#5122,.T.); +#11319=EDGE_CURVE('',#8883,#8887,#684,.T.); +#11320=EDGE_CURVE('',#8888,#8888,#685,.T.); +#11321=EDGE_CURVE('',#8889,#8890,#686,.T.); +#11322=EDGE_CURVE('',#8889,#8885,#5123,.T.); +#11323=EDGE_CURVE('',#8890,#8884,#5124,.T.); +#11324=EDGE_CURVE('',#8891,#8892,#687,.T.); +#11325=EDGE_CURVE('',#8891,#8893,#5125,.T.); +#11326=EDGE_CURVE('',#8893,#8894,#688,.T.); +#11327=EDGE_CURVE('',#8892,#8894,#5126,.T.); +#11328=EDGE_CURVE('',#8895,#8896,#5127,.T.); +#11329=EDGE_CURVE('',#8897,#8895,#689,.T.); +#11330=EDGE_CURVE('',#8898,#8897,#5128,.T.); +#11331=EDGE_CURVE('',#8894,#8898,#5129,.T.); +#11332=EDGE_CURVE('',#8896,#8893,#5130,.T.); +#11333=EDGE_CURVE('',#8899,#8899,#690,.T.); +#11334=EDGE_CURVE('',#8900,#8901,#5131,.T.); +#11335=EDGE_CURVE('',#8902,#8900,#5132,.T.); +#11336=EDGE_CURVE('',#8903,#8902,#691,.T.); +#11337=EDGE_CURVE('',#8904,#8903,#5133,.T.); +#11338=EDGE_CURVE('',#8905,#8904,#5134,.T.); +#11339=EDGE_CURVE('',#8901,#8905,#692,.T.); +#11340=EDGE_CURVE('',#8906,#8906,#693,.T.); +#11341=EDGE_CURVE('',#8907,#8908,#694,.T.); +#11342=EDGE_CURVE('',#8907,#8903,#5135,.T.); +#11343=EDGE_CURVE('',#8908,#8902,#5136,.T.); +#11344=EDGE_CURVE('',#8909,#8910,#695,.T.); +#11345=EDGE_CURVE('',#8909,#8911,#5137,.T.); +#11346=EDGE_CURVE('',#8911,#8912,#696,.T.); +#11347=EDGE_CURVE('',#8910,#8912,#5138,.T.); +#11348=EDGE_CURVE('',#8913,#8914,#5139,.T.); +#11349=EDGE_CURVE('',#8915,#8913,#697,.T.); +#11350=EDGE_CURVE('',#8916,#8915,#5140,.T.); +#11351=EDGE_CURVE('',#8912,#8916,#5141,.T.); +#11352=EDGE_CURVE('',#8914,#8911,#5142,.T.); +#11353=EDGE_CURVE('',#8917,#8917,#698,.T.); +#11354=EDGE_CURVE('',#8918,#8919,#5143,.T.); +#11355=EDGE_CURVE('',#8920,#8918,#5144,.T.); +#11356=EDGE_CURVE('',#8921,#8920,#699,.T.); +#11357=EDGE_CURVE('',#8922,#8921,#5145,.T.); +#11358=EDGE_CURVE('',#8923,#8922,#5146,.T.); +#11359=EDGE_CURVE('',#8919,#8923,#700,.T.); +#11360=EDGE_CURVE('',#8924,#8924,#701,.T.); +#11361=EDGE_CURVE('',#8925,#8926,#702,.T.); +#11362=EDGE_CURVE('',#8925,#8921,#5147,.T.); +#11363=EDGE_CURVE('',#8926,#8920,#5148,.T.); +#11364=EDGE_CURVE('',#8927,#8928,#5149,.T.); +#11365=EDGE_CURVE('',#8929,#8927,#5150,.T.); +#11366=EDGE_CURVE('',#8930,#8929,#703,.T.); +#11367=EDGE_CURVE('',#8931,#8930,#5151,.T.); +#11368=EDGE_CURVE('',#8932,#8931,#5152,.T.); +#11369=EDGE_CURVE('',#8928,#8932,#704,.T.); +#11370=EDGE_CURVE('',#8933,#8933,#705,.T.); +#11371=EDGE_CURVE('',#8934,#8935,#706,.T.); +#11372=EDGE_CURVE('',#8934,#8930,#5153,.T.); +#11373=EDGE_CURVE('',#8935,#8929,#5154,.T.); +#11374=EDGE_CURVE('',#8936,#8937,#707,.T.); +#11375=EDGE_CURVE('',#8936,#8938,#5155,.T.); +#11376=EDGE_CURVE('',#8938,#8939,#708,.T.); +#11377=EDGE_CURVE('',#8937,#8939,#5156,.T.); +#11378=EDGE_CURVE('',#8940,#8941,#5157,.T.); +#11379=EDGE_CURVE('',#8942,#8940,#709,.T.); +#11380=EDGE_CURVE('',#8943,#8942,#5158,.T.); +#11381=EDGE_CURVE('',#8939,#8943,#5159,.T.); +#11382=EDGE_CURVE('',#8941,#8938,#5160,.T.); +#11383=EDGE_CURVE('',#8944,#8944,#710,.T.); +#11384=EDGE_CURVE('',#8817,#8945,#5161,.T.); +#11385=EDGE_CURVE('',#8945,#8946,#5162,.T.); +#11386=EDGE_CURVE('',#8947,#8946,#5163,.T.); +#11387=EDGE_CURVE('',#8948,#8947,#5164,.T.); +#11388=EDGE_CURVE('',#8949,#8948,#5165,.T.); +#11389=EDGE_CURVE('',#8811,#8949,#5166,.T.); +#11390=EDGE_CURVE('',#8819,#8950,#5167,.T.); +#11391=EDGE_CURVE('',#8950,#8951,#5168,.T.); +#11392=EDGE_CURVE('',#8952,#8951,#5169,.T.); +#11393=EDGE_CURVE('',#8953,#8952,#5170,.T.); +#11394=EDGE_CURVE('',#8954,#8953,#5171,.T.); +#11395=EDGE_CURVE('',#8827,#8954,#5172,.T.); +#11396=EDGE_CURVE('',#8820,#8955,#5173,.T.); +#11397=EDGE_CURVE('',#8950,#8955,#5174,.T.); +#11398=EDGE_CURVE('',#8956,#8824,#5175,.T.); +#11399=EDGE_CURVE('',#8956,#8955,#711,.T.); +#11400=EDGE_CURVE('',#8957,#8823,#5176,.T.); +#11401=EDGE_CURVE('',#8956,#8957,#5177,.T.); +#11402=EDGE_CURVE('',#8835,#8958,#5178,.T.); +#11403=EDGE_CURVE('',#8958,#8959,#5179,.T.); +#11404=EDGE_CURVE('',#8960,#8959,#5180,.T.); +#11405=EDGE_CURVE('',#8961,#8960,#5181,.T.); +#11406=EDGE_CURVE('',#8962,#8961,#5182,.T.); +#11407=EDGE_CURVE('',#8829,#8962,#5183,.T.); +#11408=EDGE_CURVE('',#8844,#8963,#5184,.T.); +#11409=EDGE_CURVE('',#8963,#8964,#5185,.T.); +#11410=EDGE_CURVE('',#8965,#8964,#5186,.T.); +#11411=EDGE_CURVE('',#8966,#8965,#5187,.T.); +#11412=EDGE_CURVE('',#8967,#8966,#5188,.T.); +#11413=EDGE_CURVE('',#8838,#8967,#5189,.T.); +#11414=EDGE_CURVE('',#8853,#8968,#5190,.T.); +#11415=EDGE_CURVE('',#8968,#8969,#5191,.T.); +#11416=EDGE_CURVE('',#8970,#8969,#5192,.T.); +#11417=EDGE_CURVE('',#8971,#8970,#5193,.T.); +#11418=EDGE_CURVE('',#8972,#8971,#5194,.T.); +#11419=EDGE_CURVE('',#8847,#8972,#5195,.T.); +#11420=EDGE_CURVE('',#8862,#8973,#5196,.T.); +#11421=EDGE_CURVE('',#8973,#8974,#5197,.T.); +#11422=EDGE_CURVE('',#8975,#8974,#5198,.T.); +#11423=EDGE_CURVE('',#8976,#8975,#5199,.T.); +#11424=EDGE_CURVE('',#8977,#8976,#5200,.T.); +#11425=EDGE_CURVE('',#8856,#8977,#5201,.T.); +#11426=EDGE_CURVE('',#8864,#8978,#5202,.T.); +#11427=EDGE_CURVE('',#8978,#8979,#5203,.T.); +#11428=EDGE_CURVE('',#8980,#8979,#5204,.T.); +#11429=EDGE_CURVE('',#8981,#8980,#5205,.T.); +#11430=EDGE_CURVE('',#8982,#8981,#5206,.T.); +#11431=EDGE_CURVE('',#8872,#8982,#5207,.T.); +#11432=EDGE_CURVE('',#8865,#8983,#5208,.T.); +#11433=EDGE_CURVE('',#8978,#8983,#5209,.T.); +#11434=EDGE_CURVE('',#8984,#8869,#5210,.T.); +#11435=EDGE_CURVE('',#8984,#8983,#712,.T.); +#11436=EDGE_CURVE('',#8985,#8868,#5211,.T.); +#11437=EDGE_CURVE('',#8984,#8985,#5212,.T.); +#11438=EDGE_CURVE('',#8880,#8986,#5213,.T.); +#11439=EDGE_CURVE('',#8986,#8987,#5214,.T.); +#11440=EDGE_CURVE('',#8988,#8987,#5215,.T.); +#11441=EDGE_CURVE('',#8989,#8988,#5216,.T.); +#11442=EDGE_CURVE('',#8990,#8989,#5217,.T.); +#11443=EDGE_CURVE('',#8874,#8990,#5218,.T.); +#11444=EDGE_CURVE('',#8882,#8991,#5219,.T.); +#11445=EDGE_CURVE('',#8991,#8992,#5220,.T.); +#11446=EDGE_CURVE('',#8993,#8992,#5221,.T.); +#11447=EDGE_CURVE('',#8994,#8993,#5222,.T.); +#11448=EDGE_CURVE('',#8995,#8994,#5223,.T.); +#11449=EDGE_CURVE('',#8890,#8995,#5224,.T.); +#11450=EDGE_CURVE('',#8883,#8996,#5225,.T.); +#11451=EDGE_CURVE('',#8991,#8996,#5226,.T.); +#11452=EDGE_CURVE('',#8997,#8887,#5227,.T.); +#11453=EDGE_CURVE('',#8997,#8996,#713,.T.); +#11454=EDGE_CURVE('',#8998,#8886,#5228,.T.); +#11455=EDGE_CURVE('',#8997,#8998,#5229,.T.); +#11456=EDGE_CURVE('',#8898,#8999,#5230,.T.); +#11457=EDGE_CURVE('',#8999,#9000,#5231,.T.); +#11458=EDGE_CURVE('',#9001,#9000,#5232,.T.); +#11459=EDGE_CURVE('',#9002,#9001,#5233,.T.); +#11460=EDGE_CURVE('',#9003,#9002,#5234,.T.); +#11461=EDGE_CURVE('',#8892,#9003,#5235,.T.); +#11462=EDGE_CURVE('',#8900,#9004,#5236,.T.); +#11463=EDGE_CURVE('',#9004,#9005,#5237,.T.); +#11464=EDGE_CURVE('',#9006,#9005,#5238,.T.); +#11465=EDGE_CURVE('',#9007,#9006,#5239,.T.); +#11466=EDGE_CURVE('',#9008,#9007,#5240,.T.); +#11467=EDGE_CURVE('',#8908,#9008,#5241,.T.); +#11468=EDGE_CURVE('',#8901,#9009,#5242,.T.); +#11469=EDGE_CURVE('',#9004,#9009,#5243,.T.); +#11470=EDGE_CURVE('',#9010,#8905,#5244,.T.); +#11471=EDGE_CURVE('',#9010,#9009,#714,.T.); +#11472=EDGE_CURVE('',#9011,#8904,#5245,.T.); +#11473=EDGE_CURVE('',#9010,#9011,#5246,.T.); +#11474=EDGE_CURVE('',#8916,#9012,#5247,.T.); +#11475=EDGE_CURVE('',#9012,#9013,#5248,.T.); +#11476=EDGE_CURVE('',#9014,#9013,#5249,.T.); +#11477=EDGE_CURVE('',#9015,#9014,#5250,.T.); +#11478=EDGE_CURVE('',#9016,#9015,#5251,.T.); +#11479=EDGE_CURVE('',#8910,#9016,#5252,.T.); +#11480=EDGE_CURVE('',#8918,#9017,#5253,.T.); +#11481=EDGE_CURVE('',#9017,#9018,#5254,.T.); +#11482=EDGE_CURVE('',#9019,#9018,#5255,.T.); +#11483=EDGE_CURVE('',#9020,#9019,#5256,.T.); +#11484=EDGE_CURVE('',#9021,#9020,#5257,.T.); +#11485=EDGE_CURVE('',#8926,#9021,#5258,.T.); +#11486=EDGE_CURVE('',#8919,#9022,#5259,.T.); +#11487=EDGE_CURVE('',#9017,#9022,#5260,.T.); +#11488=EDGE_CURVE('',#9023,#8923,#5261,.T.); +#11489=EDGE_CURVE('',#9023,#9022,#715,.T.); +#11490=EDGE_CURVE('',#9024,#8922,#5262,.T.); +#11491=EDGE_CURVE('',#9023,#9024,#5263,.T.); +#11492=EDGE_CURVE('',#8927,#9025,#5264,.T.); +#11493=EDGE_CURVE('',#9025,#9026,#5265,.T.); +#11494=EDGE_CURVE('',#9027,#9026,#5266,.T.); +#11495=EDGE_CURVE('',#9028,#9027,#5267,.T.); +#11496=EDGE_CURVE('',#9029,#9028,#5268,.T.); +#11497=EDGE_CURVE('',#8935,#9029,#5269,.T.); +#11498=EDGE_CURVE('',#8928,#9030,#5270,.T.); +#11499=EDGE_CURVE('',#9025,#9030,#5271,.T.); +#11500=EDGE_CURVE('',#9031,#8932,#5272,.T.); +#11501=EDGE_CURVE('',#9031,#9030,#716,.T.); +#11502=EDGE_CURVE('',#9032,#8931,#5273,.T.); +#11503=EDGE_CURVE('',#9031,#9032,#5274,.T.); +#11504=EDGE_CURVE('',#8802,#9033,#5275,.T.); +#11505=EDGE_CURVE('',#9033,#8837,#5276,.T.); +#11506=EDGE_CURVE('',#8967,#9034,#5277,.T.); +#11507=EDGE_CURVE('',#9034,#8907,#5278,.T.); +#11508=EDGE_CURVE('',#9008,#9035,#5279,.T.); +#11509=EDGE_CURVE('',#9035,#8909,#5280,.T.); +#11510=EDGE_CURVE('',#9016,#9036,#5281,.T.); +#11511=EDGE_CURVE('',#9036,#8846,#5282,.T.); +#11512=EDGE_CURVE('',#8972,#9037,#5283,.T.); +#11513=EDGE_CURVE('',#9037,#8828,#5284,.T.); +#11514=EDGE_CURVE('',#8962,#9038,#5285,.T.); +#11515=EDGE_CURVE('',#9038,#8891,#5286,.T.); +#11516=EDGE_CURVE('',#9003,#9039,#5287,.T.); +#11517=EDGE_CURVE('',#9039,#8925,#5288,.T.); +#11518=EDGE_CURVE('',#9021,#9040,#5289,.T.); +#11519=EDGE_CURVE('',#9040,#9041,#5290,.T.); +#11520=EDGE_CURVE('',#9041,#9042,#5291,.T.); +#11521=EDGE_CURVE('',#9042,#8855,#5292,.T.); +#11522=EDGE_CURVE('',#8977,#9043,#5293,.T.); +#11523=EDGE_CURVE('',#9043,#8826,#5294,.T.); +#11524=EDGE_CURVE('',#8954,#9044,#5295,.T.); +#11525=EDGE_CURVE('',#9044,#8889,#5296,.T.); +#11526=EDGE_CURVE('',#8995,#9045,#5297,.T.); +#11527=EDGE_CURVE('',#9045,#8934,#5298,.T.); +#11528=EDGE_CURVE('',#9029,#9046,#5299,.T.); +#11529=EDGE_CURVE('',#9046,#8871,#5300,.T.); +#11530=EDGE_CURVE('',#8982,#9047,#5301,.T.); +#11531=EDGE_CURVE('',#9047,#8810,#5302,.T.); +#11532=EDGE_CURVE('',#8949,#9048,#5303,.T.); +#11533=EDGE_CURVE('',#9048,#8873,#5304,.T.); +#11534=EDGE_CURVE('',#8990,#9049,#5305,.T.); +#11535=EDGE_CURVE('',#9049,#8936,#5306,.T.); +#11536=EDGE_CURVE('',#8937,#9050,#5307,.T.); +#11537=EDGE_CURVE('',#9050,#9051,#5308,.T.); +#11538=EDGE_CURVE('',#9051,#9052,#5309,.T.); +#11539=EDGE_CURVE('',#9052,#8807,#5310,.T.); +#11540=EDGE_CURVE('',#8943,#9053,#5311,.T.); +#11541=EDGE_CURVE('',#9053,#9054,#5312,.T.); +#11542=EDGE_CURVE('',#9055,#9054,#5313,.T.); +#11543=EDGE_CURVE('',#9056,#9055,#5314,.T.); +#11544=EDGE_CURVE('',#9050,#9056,#5315,.T.); +#11545=EDGE_CURVE('',#9057,#9057,#717,.T.); +#11546=EDGE_CURVE('',#9057,#8825,#5316,.T.); +#11547=EDGE_CURVE('',#9058,#8814,#5317,.T.); +#11548=EDGE_CURVE('',#9058,#9059,#718,.T.); +#11549=EDGE_CURVE('',#8816,#9059,#5318,.T.); +#11550=EDGE_CURVE('',#9060,#8940,#5319,.T.); +#11551=EDGE_CURVE('',#9060,#9061,#719,.T.); +#11552=EDGE_CURVE('',#8942,#9061,#5320,.T.); +#11553=EDGE_CURVE('',#9062,#8841,#5321,.T.); +#11554=EDGE_CURVE('',#9062,#9063,#720,.T.); +#11555=EDGE_CURVE('',#8843,#9063,#5322,.T.); +#11556=EDGE_CURVE('',#9064,#8913,#5323,.T.); +#11557=EDGE_CURVE('',#9064,#9065,#721,.T.); +#11558=EDGE_CURVE('',#8915,#9065,#5324,.T.); +#11559=EDGE_CURVE('',#9066,#9066,#722,.T.); +#11560=EDGE_CURVE('',#9066,#8836,#5325,.T.); +#11561=EDGE_CURVE('',#9067,#8895,#5326,.T.); +#11562=EDGE_CURVE('',#9067,#9068,#723,.T.); +#11563=EDGE_CURVE('',#8897,#9068,#5327,.T.); +#11564=EDGE_CURVE('',#9069,#9069,#724,.T.); +#11565=EDGE_CURVE('',#9069,#8854,#5328,.T.); +#11566=EDGE_CURVE('',#9070,#9070,#725,.T.); +#11567=EDGE_CURVE('',#9070,#8906,#5329,.T.); +#11568=EDGE_CURVE('',#9071,#9071,#726,.T.); +#11569=EDGE_CURVE('',#9071,#8795,#5330,.T.); +#11570=EDGE_CURVE('',#9072,#8877,#5331,.T.); +#11571=EDGE_CURVE('',#9072,#9073,#727,.T.); +#11572=EDGE_CURVE('',#8879,#9073,#5332,.T.); +#11573=EDGE_CURVE('',#9074,#9074,#728,.T.); +#11574=EDGE_CURVE('',#9074,#8888,#5333,.T.); +#11575=EDGE_CURVE('',#9075,#9075,#729,.T.); +#11576=EDGE_CURVE('',#9075,#8863,#5334,.T.); +#11577=EDGE_CURVE('',#9076,#9076,#730,.T.); +#11578=EDGE_CURVE('',#9076,#8933,#5335,.T.); +#11579=EDGE_CURVE('',#9077,#9077,#731,.T.); +#11580=EDGE_CURVE('',#9077,#8818,#5336,.T.); +#11581=EDGE_CURVE('',#9078,#9078,#732,.T.); +#11582=EDGE_CURVE('',#9078,#8944,#5337,.T.); +#11583=EDGE_CURVE('',#9079,#9079,#733,.T.); +#11584=EDGE_CURVE('',#9079,#8845,#5338,.T.); +#11585=EDGE_CURVE('',#9080,#9080,#734,.T.); +#11586=EDGE_CURVE('',#9080,#8917,#5339,.T.); +#11587=EDGE_CURVE('',#9081,#8832,#5340,.T.); +#11588=EDGE_CURVE('',#9081,#9082,#735,.T.); +#11589=EDGE_CURVE('',#8834,#9082,#5341,.T.); +#11590=EDGE_CURVE('',#9083,#9083,#736,.T.); +#11591=EDGE_CURVE('',#9083,#8924,#5342,.T.); +#11592=EDGE_CURVE('',#9084,#9084,#737,.T.); +#11593=EDGE_CURVE('',#9084,#8899,#5343,.T.); +#11594=EDGE_CURVE('',#9085,#8850,#5344,.T.); +#11595=EDGE_CURVE('',#9085,#9086,#738,.T.); +#11596=EDGE_CURVE('',#8852,#9086,#5345,.T.); +#11597=EDGE_CURVE('',#9087,#9087,#739,.T.); +#11598=EDGE_CURVE('',#9087,#8881,#5346,.T.); +#11599=EDGE_CURVE('',#9088,#9088,#740,.T.); +#11600=EDGE_CURVE('',#9088,#8870,#5347,.T.); +#11601=EDGE_CURVE('',#9089,#8859,#5348,.T.); +#11602=EDGE_CURVE('',#9089,#9090,#741,.T.); +#11603=EDGE_CURVE('',#8861,#9090,#5349,.T.); +#11604=EDGE_CURVE('',#9091,#8815,#5350,.T.); +#11605=EDGE_CURVE('',#9058,#9091,#5351,.T.); +#11606=EDGE_CURVE('',#8945,#9059,#5352,.T.); +#11607=EDGE_CURVE('',#9053,#9061,#5353,.T.); +#11608=EDGE_CURVE('',#9092,#8941,#5354,.T.); +#11609=EDGE_CURVE('',#9060,#9092,#5355,.T.); +#11610=EDGE_CURVE('',#9093,#8842,#5356,.T.); +#11611=EDGE_CURVE('',#9062,#9093,#5357,.T.); +#11612=EDGE_CURVE('',#8963,#9063,#5358,.T.); +#11613=EDGE_CURVE('',#9094,#8914,#5359,.T.); +#11614=EDGE_CURVE('',#9064,#9094,#5360,.T.); +#11615=EDGE_CURVE('',#9012,#9065,#5361,.T.); +#11616=EDGE_CURVE('',#9095,#8896,#5362,.T.); +#11617=EDGE_CURVE('',#9067,#9095,#5363,.T.); +#11618=EDGE_CURVE('',#8999,#9068,#5364,.T.); +#11619=EDGE_CURVE('',#8986,#9073,#5365,.T.); +#11620=EDGE_CURVE('',#9096,#8878,#5366,.T.); +#11621=EDGE_CURVE('',#9072,#9096,#5367,.T.); +#11622=EDGE_CURVE('',#9097,#8833,#5368,.T.); +#11623=EDGE_CURVE('',#9081,#9097,#5369,.T.); +#11624=EDGE_CURVE('',#8958,#9082,#5370,.T.); +#11625=EDGE_CURVE('',#9098,#8851,#5371,.T.); +#11626=EDGE_CURVE('',#9085,#9098,#5372,.T.); +#11627=EDGE_CURVE('',#8968,#9086,#5373,.T.); +#11628=EDGE_CURVE('',#8973,#9090,#5374,.T.); +#11629=EDGE_CURVE('',#9099,#8860,#5375,.T.); +#11630=EDGE_CURVE('',#9089,#9099,#5376,.T.); +#11631=EDGE_CURVE('',#9100,#9020,#5377,.T.); +#11632=EDGE_CURVE('',#9040,#9100,#5378,.T.); +#11633=EDGE_CURVE('',#9052,#9101,#5379,.T.); +#11634=EDGE_CURVE('',#8806,#9101,#5380,.T.); +#11635=EDGE_CURVE('',#9102,#9036,#5381,.T.); +#11636=EDGE_CURVE('',#9102,#9015,#5382,.T.); +#11637=EDGE_CURVE('',#9103,#9002,#5383,.T.); +#11638=EDGE_CURVE('',#9103,#9039,#5384,.T.); +#11639=EDGE_CURVE('',#9104,#9007,#5385,.T.); +#11640=EDGE_CURVE('',#9104,#9035,#5386,.T.); +#11641=EDGE_CURVE('',#9105,#9033,#5387,.T.); +#11642=EDGE_CURVE('',#9105,#8801,#5388,.T.); +#11643=EDGE_CURVE('',#9106,#8966,#5389,.T.); +#11644=EDGE_CURVE('',#9106,#9034,#5390,.T.); +#11645=EDGE_CURVE('',#9107,#9037,#5391,.T.); +#11646=EDGE_CURVE('',#9107,#8971,#5392,.T.); +#11647=EDGE_CURVE('',#9108,#9042,#5393,.T.); +#11648=EDGE_CURVE('',#9041,#9109,#5394,.T.); +#11649=EDGE_CURVE('',#9108,#9109,#5395,.T.); +#11650=EDGE_CURVE('',#9110,#9056,#5396,.T.); +#11651=EDGE_CURVE('',#9051,#9110,#5397,.T.); +#11652=EDGE_CURVE('',#9111,#9028,#5398,.T.); +#11653=EDGE_CURVE('',#9111,#9046,#5399,.T.); +#11654=EDGE_CURVE('',#9112,#9043,#5400,.T.); +#11655=EDGE_CURVE('',#9112,#8976,#5401,.T.); +#11656=EDGE_CURVE('',#9113,#9047,#5402,.T.); +#11657=EDGE_CURVE('',#9113,#8981,#5403,.T.); +#11658=EDGE_CURVE('',#9114,#9048,#5404,.T.); +#11659=EDGE_CURVE('',#9114,#8948,#5405,.T.); +#11660=EDGE_CURVE('',#9115,#8989,#5406,.T.); +#11661=EDGE_CURVE('',#9115,#9049,#5407,.T.); +#11662=EDGE_CURVE('',#9116,#9044,#5408,.T.); +#11663=EDGE_CURVE('',#9116,#8953,#5409,.T.); +#11664=EDGE_CURVE('',#9117,#9107,#5410,.T.); +#11665=EDGE_CURVE('',#9117,#9118,#5411,.T.); +#11666=EDGE_CURVE('',#9118,#9097,#5412,.T.); +#11667=EDGE_CURVE('',#8960,#9117,#742,.T.); +#11668=EDGE_CURVE('',#8959,#9118,#743,.T.); +#11669=EDGE_CURVE('',#9119,#9105,#5413,.T.); +#11670=EDGE_CURVE('',#9119,#9120,#5414,.T.); +#11671=EDGE_CURVE('',#9120,#9093,#5415,.T.); +#11672=EDGE_CURVE('',#8965,#9119,#744,.T.); +#11673=EDGE_CURVE('',#8964,#9120,#745,.T.); +#11674=EDGE_CURVE('',#9121,#9113,#5416,.T.); +#11675=EDGE_CURVE('',#9121,#9122,#5417,.T.); +#11676=EDGE_CURVE('',#9122,#9091,#5418,.T.); +#11677=EDGE_CURVE('',#8947,#9121,#746,.T.); +#11678=EDGE_CURVE('',#8946,#9122,#747,.T.); +#11679=EDGE_CURVE('',#9123,#9112,#5419,.T.); +#11680=EDGE_CURVE('',#9123,#9124,#5420,.T.); +#11681=EDGE_CURVE('',#9124,#8957,#5421,.T.); +#11682=EDGE_CURVE('',#8952,#9123,#748,.T.); +#11683=EDGE_CURVE('',#8951,#9124,#749,.T.); +#11684=EDGE_CURVE('',#8993,#9125,#750,.T.); +#11685=EDGE_CURVE('',#8992,#9126,#751,.T.); +#11686=EDGE_CURVE('',#9125,#9126,#5422,.T.); +#11687=EDGE_CURVE('',#9125,#9116,#5423,.T.); +#11688=EDGE_CURVE('',#9126,#8998,#5424,.T.); +#11689=EDGE_CURVE('',#9127,#9114,#5425,.T.); +#11690=EDGE_CURVE('',#9127,#9128,#5426,.T.); +#11691=EDGE_CURVE('',#9128,#9096,#5427,.T.); +#11692=EDGE_CURVE('',#8988,#9127,#752,.T.); +#11693=EDGE_CURVE('',#8987,#9128,#753,.T.); +#11694=EDGE_CURVE('',#9129,#9106,#5428,.T.); +#11695=EDGE_CURVE('',#9129,#9130,#5429,.T.); +#11696=EDGE_CURVE('',#9130,#9011,#5430,.T.); +#11697=EDGE_CURVE('',#9006,#9129,#754,.T.); +#11698=EDGE_CURVE('',#9005,#9130,#755,.T.); +#11699=EDGE_CURVE('',#9131,#9103,#5431,.T.); +#11700=EDGE_CURVE('',#9131,#9132,#5432,.T.); +#11701=EDGE_CURVE('',#9132,#9024,#5433,.T.); +#11702=EDGE_CURVE('',#9019,#9131,#756,.T.); +#11703=EDGE_CURVE('',#9018,#9132,#757,.T.); +#11704=EDGE_CURVE('',#9133,#9102,#5434,.T.); +#11705=EDGE_CURVE('',#9133,#9134,#5435,.T.); +#11706=EDGE_CURVE('',#9134,#9098,#5436,.T.); +#11707=EDGE_CURVE('',#8970,#9133,#758,.T.); +#11708=EDGE_CURVE('',#8969,#9134,#759,.T.); +#11709=EDGE_CURVE('',#8800,#8808,#760,.T.); +#11710=EDGE_CURVE('',#8799,#8809,#761,.T.); +#11711=EDGE_CURVE('',#9135,#9111,#5437,.T.); +#11712=EDGE_CURVE('',#9135,#9136,#5438,.T.); +#11713=EDGE_CURVE('',#9136,#8985,#5439,.T.); +#11714=EDGE_CURVE('',#8980,#9135,#762,.T.); +#11715=EDGE_CURVE('',#8979,#9136,#763,.T.); +#11716=EDGE_CURVE('',#9137,#9108,#5440,.T.); +#11717=EDGE_CURVE('',#9137,#9138,#5441,.T.); +#11718=EDGE_CURVE('',#9138,#9099,#5442,.T.); +#11719=EDGE_CURVE('',#8975,#9137,#764,.T.); +#11720=EDGE_CURVE('',#8974,#9138,#765,.T.); +#11721=EDGE_CURVE('',#9139,#9045,#5443,.T.); +#11722=EDGE_CURVE('',#9140,#9139,#5444,.T.); +#11723=EDGE_CURVE('',#9140,#9141,#5445,.T.); +#11724=EDGE_CURVE('',#9141,#9032,#5446,.T.); +#11725=EDGE_CURVE('',#9027,#9140,#766,.T.); +#11726=EDGE_CURVE('',#9026,#9141,#767,.T.); +#11727=EDGE_CURVE('',#9055,#9142,#768,.T.); +#11728=EDGE_CURVE('',#9054,#9143,#769,.T.); +#11729=EDGE_CURVE('',#9142,#9143,#5447,.T.); +#11730=EDGE_CURVE('',#9142,#9115,#5448,.T.); +#11731=EDGE_CURVE('',#9143,#9092,#5449,.T.); +#11732=EDGE_CURVE('',#9144,#9104,#5450,.T.); +#11733=EDGE_CURVE('',#9144,#9145,#5451,.T.); +#11734=EDGE_CURVE('',#9145,#9094,#5452,.T.); +#11735=EDGE_CURVE('',#9014,#9144,#770,.T.); +#11736=EDGE_CURVE('',#9013,#9145,#771,.T.); +#11737=EDGE_CURVE('',#9146,#9038,#5453,.T.); +#11738=EDGE_CURVE('',#9147,#9146,#5454,.T.); +#11739=EDGE_CURVE('',#9147,#9148,#5455,.T.); +#11740=EDGE_CURVE('',#9148,#9095,#5456,.T.); +#11741=EDGE_CURVE('',#9001,#9147,#772,.T.); +#11742=EDGE_CURVE('',#9000,#9148,#773,.T.); +#11743=EDGE_CURVE('',#9101,#9110,#5457,.T.); +#11744=EDGE_CURVE('',#9139,#8994,#5458,.T.); +#11745=EDGE_CURVE('',#9109,#9100,#5459,.T.); +#11746=EDGE_CURVE('',#9146,#8961,#5460,.T.); +#11747=ORIENTED_EDGE('',*,*,#9149,.T.); +#11748=ORIENTED_EDGE('',*,*,#9150,.T.); +#11749=ORIENTED_EDGE('',*,*,#9151,.T.); +#11750=ORIENTED_EDGE('',*,*,#9152,.T.); +#11751=ORIENTED_EDGE('',*,*,#9153,.T.); +#11752=ORIENTED_EDGE('',*,*,#9154,.T.); +#11753=ORIENTED_EDGE('',*,*,#9155,.T.); +#11754=ORIENTED_EDGE('',*,*,#9156,.T.); +#11755=ORIENTED_EDGE('',*,*,#9157,.T.); +#11756=ORIENTED_EDGE('',*,*,#9158,.T.); +#11757=ORIENTED_EDGE('',*,*,#9159,.T.); +#11758=ORIENTED_EDGE('',*,*,#9160,.T.); +#11759=ORIENTED_EDGE('',*,*,#9161,.T.); +#11760=ORIENTED_EDGE('',*,*,#9162,.T.); +#11761=ORIENTED_EDGE('',*,*,#9163,.T.); +#11762=ORIENTED_EDGE('',*,*,#9164,.T.); +#11763=ORIENTED_EDGE('',*,*,#9165,.T.); +#11764=ORIENTED_EDGE('',*,*,#9166,.T.); +#11765=ORIENTED_EDGE('',*,*,#9167,.T.); +#11766=ORIENTED_EDGE('',*,*,#9168,.T.); +#11767=ORIENTED_EDGE('',*,*,#9169,.T.); +#11768=ORIENTED_EDGE('',*,*,#9170,.T.); +#11769=ORIENTED_EDGE('',*,*,#9171,.T.); +#11770=ORIENTED_EDGE('',*,*,#9172,.T.); +#11771=ORIENTED_EDGE('',*,*,#9173,.T.); +#11772=ORIENTED_EDGE('',*,*,#9174,.T.); +#11773=ORIENTED_EDGE('',*,*,#9175,.T.); +#11774=ORIENTED_EDGE('',*,*,#9176,.T.); +#11775=ORIENTED_EDGE('',*,*,#9177,.T.); +#11776=ORIENTED_EDGE('',*,*,#9178,.T.); +#11777=ORIENTED_EDGE('',*,*,#9179,.T.); +#11778=ORIENTED_EDGE('',*,*,#9180,.T.); +#11779=ORIENTED_EDGE('',*,*,#9181,.T.); +#11780=ORIENTED_EDGE('',*,*,#9182,.T.); +#11781=ORIENTED_EDGE('',*,*,#9183,.T.); +#11782=ORIENTED_EDGE('',*,*,#9150,.F.); +#11783=ORIENTED_EDGE('',*,*,#9184,.T.); +#11784=ORIENTED_EDGE('',*,*,#9185,.T.); +#11785=ORIENTED_EDGE('',*,*,#9186,.T.); +#11786=ORIENTED_EDGE('',*,*,#9187,.T.); +#11787=ORIENTED_EDGE('',*,*,#9188,.T.); +#11788=ORIENTED_EDGE('',*,*,#9189,.T.); +#11789=ORIENTED_EDGE('',*,*,#9190,.T.); +#11790=ORIENTED_EDGE('',*,*,#9191,.T.); +#11791=ORIENTED_EDGE('',*,*,#9192,.T.); +#11792=ORIENTED_EDGE('',*,*,#9193,.T.); +#11793=ORIENTED_EDGE('',*,*,#9194,.T.); +#11794=ORIENTED_EDGE('',*,*,#9195,.T.); +#11795=ORIENTED_EDGE('',*,*,#9196,.T.); +#11796=ORIENTED_EDGE('',*,*,#9197,.T.); +#11797=ORIENTED_EDGE('',*,*,#9198,.T.); +#11798=ORIENTED_EDGE('',*,*,#9199,.T.); +#11799=ORIENTED_EDGE('',*,*,#9200,.T.); +#11800=ORIENTED_EDGE('',*,*,#9201,.T.); +#11801=ORIENTED_EDGE('',*,*,#9202,.T.); +#11802=ORIENTED_EDGE('',*,*,#9203,.T.); +#11803=ORIENTED_EDGE('',*,*,#9204,.T.); +#11804=ORIENTED_EDGE('',*,*,#9205,.T.); +#11805=ORIENTED_EDGE('',*,*,#9206,.T.); +#11806=ORIENTED_EDGE('',*,*,#9207,.T.); +#11807=ORIENTED_EDGE('',*,*,#9208,.T.); +#11808=ORIENTED_EDGE('',*,*,#9209,.T.); +#11809=ORIENTED_EDGE('',*,*,#9210,.T.); +#11810=ORIENTED_EDGE('',*,*,#9211,.T.); +#11811=ORIENTED_EDGE('',*,*,#9212,.T.); +#11812=ORIENTED_EDGE('',*,*,#9213,.T.); +#11813=ORIENTED_EDGE('',*,*,#9214,.T.); +#11814=ORIENTED_EDGE('',*,*,#9182,.F.); +#11815=ORIENTED_EDGE('',*,*,#9215,.T.); +#11816=ORIENTED_EDGE('',*,*,#9216,.T.); +#11817=ORIENTED_EDGE('',*,*,#9217,.T.); +#11818=ORIENTED_EDGE('',*,*,#9218,.T.); +#11819=ORIENTED_EDGE('',*,*,#9219,.T.); +#11820=ORIENTED_EDGE('',*,*,#9220,.T.); +#11821=ORIENTED_EDGE('',*,*,#9221,.T.); +#11822=ORIENTED_EDGE('',*,*,#9222,.T.); +#11823=ORIENTED_EDGE('',*,*,#9223,.T.); +#11824=ORIENTED_EDGE('',*,*,#9224,.T.); +#11825=ORIENTED_EDGE('',*,*,#9225,.T.); +#11826=ORIENTED_EDGE('',*,*,#9226,.T.); +#11827=ORIENTED_EDGE('',*,*,#9227,.T.); +#11828=ORIENTED_EDGE('',*,*,#9228,.T.); +#11829=ORIENTED_EDGE('',*,*,#9229,.T.); +#11830=ORIENTED_EDGE('',*,*,#9230,.T.); +#11831=ORIENTED_EDGE('',*,*,#9231,.T.); +#11832=ORIENTED_EDGE('',*,*,#9232,.T.); +#11833=ORIENTED_EDGE('',*,*,#9233,.T.); +#11834=ORIENTED_EDGE('',*,*,#9234,.T.); +#11835=ORIENTED_EDGE('',*,*,#9235,.T.); +#11836=ORIENTED_EDGE('',*,*,#9236,.T.); +#11837=ORIENTED_EDGE('',*,*,#9237,.T.); +#11838=ORIENTED_EDGE('',*,*,#9238,.T.); +#11839=ORIENTED_EDGE('',*,*,#9239,.T.); +#11840=ORIENTED_EDGE('',*,*,#9240,.T.); +#11841=ORIENTED_EDGE('',*,*,#9241,.T.); +#11842=ORIENTED_EDGE('',*,*,#9242,.T.); +#11843=ORIENTED_EDGE('',*,*,#9243,.T.); +#11844=ORIENTED_EDGE('',*,*,#9152,.F.); +#11845=ORIENTED_EDGE('',*,*,#9244,.T.); +#11846=ORIENTED_EDGE('',*,*,#9213,.F.); +#11847=ORIENTED_EDGE('',*,*,#9245,.T.); +#11848=ORIENTED_EDGE('',*,*,#9246,.T.); +#11849=ORIENTED_EDGE('',*,*,#9247,.T.); +#11850=ORIENTED_EDGE('',*,*,#9248,.T.); +#11851=ORIENTED_EDGE('',*,*,#9249,.T.); +#11852=ORIENTED_EDGE('',*,*,#9250,.T.); +#11853=ORIENTED_EDGE('',*,*,#9251,.T.); +#11854=ORIENTED_EDGE('',*,*,#9252,.T.); +#11855=ORIENTED_EDGE('',*,*,#9253,.T.); +#11856=ORIENTED_EDGE('',*,*,#9254,.T.); +#11857=ORIENTED_EDGE('',*,*,#9255,.T.); +#11858=ORIENTED_EDGE('',*,*,#9256,.T.); +#11859=ORIENTED_EDGE('',*,*,#9257,.T.); +#11860=ORIENTED_EDGE('',*,*,#9258,.T.); +#11861=ORIENTED_EDGE('',*,*,#9259,.T.); +#11862=ORIENTED_EDGE('',*,*,#9260,.T.); +#11863=ORIENTED_EDGE('',*,*,#9261,.T.); +#11864=ORIENTED_EDGE('',*,*,#9262,.T.); +#11865=ORIENTED_EDGE('',*,*,#9263,.T.); +#11866=ORIENTED_EDGE('',*,*,#9264,.T.); +#11867=ORIENTED_EDGE('',*,*,#9265,.T.); +#11868=ORIENTED_EDGE('',*,*,#9266,.T.); +#11869=ORIENTED_EDGE('',*,*,#9267,.T.); +#11870=ORIENTED_EDGE('',*,*,#9268,.T.); +#11871=ORIENTED_EDGE('',*,*,#9269,.T.); +#11872=ORIENTED_EDGE('',*,*,#9270,.T.); +#11873=ORIENTED_EDGE('',*,*,#9271,.T.); +#11874=ORIENTED_EDGE('',*,*,#9272,.T.); +#11875=ORIENTED_EDGE('',*,*,#9243,.F.); +#11876=ORIENTED_EDGE('',*,*,#9212,.F.); +#11877=ORIENTED_EDGE('',*,*,#9181,.F.); +#11878=ORIENTED_EDGE('',*,*,#9149,.F.); +#11879=ORIENTED_EDGE('',*,*,#9151,.F.); +#11880=ORIENTED_EDGE('',*,*,#9183,.F.); +#11881=ORIENTED_EDGE('',*,*,#9214,.F.); +#11882=ORIENTED_EDGE('',*,*,#9244,.F.); +#11883=ORIENTED_EDGE('',*,*,#9273,.T.); +#11884=ORIENTED_EDGE('',*,*,#9274,.T.); +#11885=ORIENTED_EDGE('',*,*,#9275,.T.); +#11886=ORIENTED_EDGE('',*,*,#9276,.T.); +#11887=ORIENTED_EDGE('',*,*,#9273,.F.); +#11888=ORIENTED_EDGE('',*,*,#9277,.T.); +#11889=ORIENTED_EDGE('',*,*,#9276,.F.); +#11890=ORIENTED_EDGE('',*,*,#9278,.T.); +#11891=ORIENTED_EDGE('',*,*,#9279,.T.); +#11892=ORIENTED_EDGE('',*,*,#9280,.T.); +#11893=ORIENTED_EDGE('',*,*,#9281,.T.); +#11894=ORIENTED_EDGE('',*,*,#9153,.F.); +#11895=ORIENTED_EDGE('',*,*,#9281,.F.); +#11896=ORIENTED_EDGE('',*,*,#9282,.T.); +#11897=ORIENTED_EDGE('',*,*,#9283,.T.); +#11898=ORIENTED_EDGE('',*,*,#9154,.F.); +#11899=ORIENTED_EDGE('',*,*,#9283,.F.); +#11900=ORIENTED_EDGE('',*,*,#9284,.T.); +#11901=ORIENTED_EDGE('',*,*,#9285,.T.); +#11902=ORIENTED_EDGE('',*,*,#9155,.F.); +#11903=ORIENTED_EDGE('',*,*,#9285,.F.); +#11904=ORIENTED_EDGE('',*,*,#9286,.T.); +#11905=ORIENTED_EDGE('',*,*,#9279,.F.); +#11906=ORIENTED_EDGE('',*,*,#9156,.F.); +#11907=ORIENTED_EDGE('',*,*,#9286,.F.); +#11908=ORIENTED_EDGE('',*,*,#9284,.F.); +#11909=ORIENTED_EDGE('',*,*,#9282,.F.); +#11910=ORIENTED_EDGE('',*,*,#9280,.F.); +#11911=ORIENTED_EDGE('',*,*,#9287,.T.); +#11912=ORIENTED_EDGE('',*,*,#9288,.T.); +#11913=ORIENTED_EDGE('',*,*,#9289,.T.); +#11914=ORIENTED_EDGE('',*,*,#9157,.F.); +#11915=ORIENTED_EDGE('',*,*,#9289,.F.); +#11916=ORIENTED_EDGE('',*,*,#9290,.T.); +#11917=ORIENTED_EDGE('',*,*,#9291,.T.); +#11918=ORIENTED_EDGE('',*,*,#9158,.F.); +#11919=ORIENTED_EDGE('',*,*,#9291,.F.); +#11920=ORIENTED_EDGE('',*,*,#9292,.T.); +#11921=ORIENTED_EDGE('',*,*,#9293,.T.); +#11922=ORIENTED_EDGE('',*,*,#9159,.F.); +#11923=ORIENTED_EDGE('',*,*,#9293,.F.); +#11924=ORIENTED_EDGE('',*,*,#9294,.T.); +#11925=ORIENTED_EDGE('',*,*,#9287,.F.); +#11926=ORIENTED_EDGE('',*,*,#9160,.F.); +#11927=ORIENTED_EDGE('',*,*,#9294,.F.); +#11928=ORIENTED_EDGE('',*,*,#9292,.F.); +#11929=ORIENTED_EDGE('',*,*,#9290,.F.); +#11930=ORIENTED_EDGE('',*,*,#9288,.F.); +#11931=ORIENTED_EDGE('',*,*,#9295,.T.); +#11932=ORIENTED_EDGE('',*,*,#9296,.T.); +#11933=ORIENTED_EDGE('',*,*,#9297,.T.); +#11934=ORIENTED_EDGE('',*,*,#9161,.F.); +#11935=ORIENTED_EDGE('',*,*,#9297,.F.); +#11936=ORIENTED_EDGE('',*,*,#9298,.T.); +#11937=ORIENTED_EDGE('',*,*,#9299,.T.); +#11938=ORIENTED_EDGE('',*,*,#9162,.F.); +#11939=ORIENTED_EDGE('',*,*,#9299,.F.); +#11940=ORIENTED_EDGE('',*,*,#9300,.T.); +#11941=ORIENTED_EDGE('',*,*,#9301,.T.); +#11942=ORIENTED_EDGE('',*,*,#9163,.F.); +#11943=ORIENTED_EDGE('',*,*,#9301,.F.); +#11944=ORIENTED_EDGE('',*,*,#9302,.T.); +#11945=ORIENTED_EDGE('',*,*,#9295,.F.); +#11946=ORIENTED_EDGE('',*,*,#9164,.F.); +#11947=ORIENTED_EDGE('',*,*,#9302,.F.); +#11948=ORIENTED_EDGE('',*,*,#9300,.F.); +#11949=ORIENTED_EDGE('',*,*,#9298,.F.); +#11950=ORIENTED_EDGE('',*,*,#9296,.F.); +#11951=ORIENTED_EDGE('',*,*,#9303,.T.); +#11952=ORIENTED_EDGE('',*,*,#9304,.T.); +#11953=ORIENTED_EDGE('',*,*,#9305,.T.); +#11954=ORIENTED_EDGE('',*,*,#9165,.F.); +#11955=ORIENTED_EDGE('',*,*,#9305,.F.); +#11956=ORIENTED_EDGE('',*,*,#9306,.T.); +#11957=ORIENTED_EDGE('',*,*,#9307,.T.); +#11958=ORIENTED_EDGE('',*,*,#9166,.F.); +#11959=ORIENTED_EDGE('',*,*,#9307,.F.); +#11960=ORIENTED_EDGE('',*,*,#9308,.T.); +#11961=ORIENTED_EDGE('',*,*,#9309,.T.); +#11962=ORIENTED_EDGE('',*,*,#9167,.F.); +#11963=ORIENTED_EDGE('',*,*,#9309,.F.); +#11964=ORIENTED_EDGE('',*,*,#9310,.T.); +#11965=ORIENTED_EDGE('',*,*,#9303,.F.); +#11966=ORIENTED_EDGE('',*,*,#9168,.F.); +#11967=ORIENTED_EDGE('',*,*,#9310,.F.); +#11968=ORIENTED_EDGE('',*,*,#9308,.F.); +#11969=ORIENTED_EDGE('',*,*,#9306,.F.); +#11970=ORIENTED_EDGE('',*,*,#9304,.F.); +#11971=ORIENTED_EDGE('',*,*,#9311,.T.); +#11972=ORIENTED_EDGE('',*,*,#9312,.T.); +#11973=ORIENTED_EDGE('',*,*,#9313,.T.); +#11974=ORIENTED_EDGE('',*,*,#9169,.F.); +#11975=ORIENTED_EDGE('',*,*,#9313,.F.); +#11976=ORIENTED_EDGE('',*,*,#9314,.T.); +#11977=ORIENTED_EDGE('',*,*,#9315,.T.); +#11978=ORIENTED_EDGE('',*,*,#9170,.F.); +#11979=ORIENTED_EDGE('',*,*,#9315,.F.); +#11980=ORIENTED_EDGE('',*,*,#9316,.T.); +#11981=ORIENTED_EDGE('',*,*,#9317,.T.); +#11982=ORIENTED_EDGE('',*,*,#9171,.F.); +#11983=ORIENTED_EDGE('',*,*,#9317,.F.); +#11984=ORIENTED_EDGE('',*,*,#9318,.T.); +#11985=ORIENTED_EDGE('',*,*,#9311,.F.); +#11986=ORIENTED_EDGE('',*,*,#9172,.F.); +#11987=ORIENTED_EDGE('',*,*,#9318,.F.); +#11988=ORIENTED_EDGE('',*,*,#9316,.F.); +#11989=ORIENTED_EDGE('',*,*,#9314,.F.); +#11990=ORIENTED_EDGE('',*,*,#9312,.F.); +#11991=ORIENTED_EDGE('',*,*,#9319,.T.); +#11992=ORIENTED_EDGE('',*,*,#9320,.T.); +#11993=ORIENTED_EDGE('',*,*,#9321,.T.); +#11994=ORIENTED_EDGE('',*,*,#9173,.F.); +#11995=ORIENTED_EDGE('',*,*,#9321,.F.); +#11996=ORIENTED_EDGE('',*,*,#9322,.T.); +#11997=ORIENTED_EDGE('',*,*,#9323,.T.); +#11998=ORIENTED_EDGE('',*,*,#9174,.F.); +#11999=ORIENTED_EDGE('',*,*,#9323,.F.); +#12000=ORIENTED_EDGE('',*,*,#9324,.T.); +#12001=ORIENTED_EDGE('',*,*,#9325,.T.); +#12002=ORIENTED_EDGE('',*,*,#9175,.F.); +#12003=ORIENTED_EDGE('',*,*,#9325,.F.); +#12004=ORIENTED_EDGE('',*,*,#9326,.T.); +#12005=ORIENTED_EDGE('',*,*,#9319,.F.); +#12006=ORIENTED_EDGE('',*,*,#9176,.F.); +#12007=ORIENTED_EDGE('',*,*,#9326,.F.); +#12008=ORIENTED_EDGE('',*,*,#9324,.F.); +#12009=ORIENTED_EDGE('',*,*,#9322,.F.); +#12010=ORIENTED_EDGE('',*,*,#9320,.F.); +#12011=ORIENTED_EDGE('',*,*,#9327,.T.); +#12012=ORIENTED_EDGE('',*,*,#9328,.T.); +#12013=ORIENTED_EDGE('',*,*,#9329,.T.); +#12014=ORIENTED_EDGE('',*,*,#9177,.F.); +#12015=ORIENTED_EDGE('',*,*,#9329,.F.); +#12016=ORIENTED_EDGE('',*,*,#9330,.T.); +#12017=ORIENTED_EDGE('',*,*,#9331,.T.); +#12018=ORIENTED_EDGE('',*,*,#9178,.F.); +#12019=ORIENTED_EDGE('',*,*,#9331,.F.); +#12020=ORIENTED_EDGE('',*,*,#9332,.T.); +#12021=ORIENTED_EDGE('',*,*,#9333,.T.); +#12022=ORIENTED_EDGE('',*,*,#9179,.F.); +#12023=ORIENTED_EDGE('',*,*,#9333,.F.); +#12024=ORIENTED_EDGE('',*,*,#9334,.T.); +#12025=ORIENTED_EDGE('',*,*,#9327,.F.); +#12026=ORIENTED_EDGE('',*,*,#9180,.F.); +#12027=ORIENTED_EDGE('',*,*,#9334,.F.); +#12028=ORIENTED_EDGE('',*,*,#9332,.F.); +#12029=ORIENTED_EDGE('',*,*,#9330,.F.); +#12030=ORIENTED_EDGE('',*,*,#9328,.F.); +#12031=ORIENTED_EDGE('',*,*,#9335,.T.); +#12032=ORIENTED_EDGE('',*,*,#9336,.T.); +#12033=ORIENTED_EDGE('',*,*,#9337,.T.); +#12034=ORIENTED_EDGE('',*,*,#9184,.F.); +#12035=ORIENTED_EDGE('',*,*,#9337,.F.); +#12036=ORIENTED_EDGE('',*,*,#9338,.T.); +#12037=ORIENTED_EDGE('',*,*,#9339,.T.); +#12038=ORIENTED_EDGE('',*,*,#9185,.F.); +#12039=ORIENTED_EDGE('',*,*,#9339,.F.); +#12040=ORIENTED_EDGE('',*,*,#9340,.T.); +#12041=ORIENTED_EDGE('',*,*,#9341,.T.); +#12042=ORIENTED_EDGE('',*,*,#9186,.F.); +#12043=ORIENTED_EDGE('',*,*,#9341,.F.); +#12044=ORIENTED_EDGE('',*,*,#9342,.T.); +#12045=ORIENTED_EDGE('',*,*,#9335,.F.); +#12046=ORIENTED_EDGE('',*,*,#9187,.F.); +#12047=ORIENTED_EDGE('',*,*,#9342,.F.); +#12048=ORIENTED_EDGE('',*,*,#9340,.F.); +#12049=ORIENTED_EDGE('',*,*,#9338,.F.); +#12050=ORIENTED_EDGE('',*,*,#9336,.F.); +#12051=ORIENTED_EDGE('',*,*,#9343,.T.); +#12052=ORIENTED_EDGE('',*,*,#9344,.T.); +#12053=ORIENTED_EDGE('',*,*,#9345,.T.); +#12054=ORIENTED_EDGE('',*,*,#9188,.F.); +#12055=ORIENTED_EDGE('',*,*,#9345,.F.); +#12056=ORIENTED_EDGE('',*,*,#9346,.T.); +#12057=ORIENTED_EDGE('',*,*,#9347,.T.); +#12058=ORIENTED_EDGE('',*,*,#9189,.F.); +#12059=ORIENTED_EDGE('',*,*,#9347,.F.); +#12060=ORIENTED_EDGE('',*,*,#9348,.T.); +#12061=ORIENTED_EDGE('',*,*,#9349,.T.); +#12062=ORIENTED_EDGE('',*,*,#9190,.F.); +#12063=ORIENTED_EDGE('',*,*,#9349,.F.); +#12064=ORIENTED_EDGE('',*,*,#9350,.T.); +#12065=ORIENTED_EDGE('',*,*,#9343,.F.); +#12066=ORIENTED_EDGE('',*,*,#9191,.F.); +#12067=ORIENTED_EDGE('',*,*,#9350,.F.); +#12068=ORIENTED_EDGE('',*,*,#9348,.F.); +#12069=ORIENTED_EDGE('',*,*,#9346,.F.); +#12070=ORIENTED_EDGE('',*,*,#9344,.F.); +#12071=ORIENTED_EDGE('',*,*,#9351,.T.); +#12072=ORIENTED_EDGE('',*,*,#9352,.T.); +#12073=ORIENTED_EDGE('',*,*,#9353,.T.); +#12074=ORIENTED_EDGE('',*,*,#9192,.F.); +#12075=ORIENTED_EDGE('',*,*,#9353,.F.); +#12076=ORIENTED_EDGE('',*,*,#9354,.T.); +#12077=ORIENTED_EDGE('',*,*,#9355,.T.); +#12078=ORIENTED_EDGE('',*,*,#9193,.F.); +#12079=ORIENTED_EDGE('',*,*,#9355,.F.); +#12080=ORIENTED_EDGE('',*,*,#9356,.T.); +#12081=ORIENTED_EDGE('',*,*,#9357,.T.); +#12082=ORIENTED_EDGE('',*,*,#9194,.F.); +#12083=ORIENTED_EDGE('',*,*,#9357,.F.); +#12084=ORIENTED_EDGE('',*,*,#9358,.T.); +#12085=ORIENTED_EDGE('',*,*,#9351,.F.); +#12086=ORIENTED_EDGE('',*,*,#9195,.F.); +#12087=ORIENTED_EDGE('',*,*,#9358,.F.); +#12088=ORIENTED_EDGE('',*,*,#9356,.F.); +#12089=ORIENTED_EDGE('',*,*,#9354,.F.); +#12090=ORIENTED_EDGE('',*,*,#9352,.F.); +#12091=ORIENTED_EDGE('',*,*,#9359,.T.); +#12092=ORIENTED_EDGE('',*,*,#9360,.T.); +#12093=ORIENTED_EDGE('',*,*,#9361,.T.); +#12094=ORIENTED_EDGE('',*,*,#9196,.F.); +#12095=ORIENTED_EDGE('',*,*,#9361,.F.); +#12096=ORIENTED_EDGE('',*,*,#9362,.T.); +#12097=ORIENTED_EDGE('',*,*,#9363,.T.); +#12098=ORIENTED_EDGE('',*,*,#9197,.F.); +#12099=ORIENTED_EDGE('',*,*,#9363,.F.); +#12100=ORIENTED_EDGE('',*,*,#9364,.T.); +#12101=ORIENTED_EDGE('',*,*,#9365,.T.); +#12102=ORIENTED_EDGE('',*,*,#9198,.F.); +#12103=ORIENTED_EDGE('',*,*,#9365,.F.); +#12104=ORIENTED_EDGE('',*,*,#9366,.T.); +#12105=ORIENTED_EDGE('',*,*,#9359,.F.); +#12106=ORIENTED_EDGE('',*,*,#9199,.F.); +#12107=ORIENTED_EDGE('',*,*,#9366,.F.); +#12108=ORIENTED_EDGE('',*,*,#9364,.F.); +#12109=ORIENTED_EDGE('',*,*,#9362,.F.); +#12110=ORIENTED_EDGE('',*,*,#9360,.F.); +#12111=ORIENTED_EDGE('',*,*,#9367,.T.); +#12112=ORIENTED_EDGE('',*,*,#9368,.T.); +#12113=ORIENTED_EDGE('',*,*,#9369,.T.); +#12114=ORIENTED_EDGE('',*,*,#9200,.F.); +#12115=ORIENTED_EDGE('',*,*,#9369,.F.); +#12116=ORIENTED_EDGE('',*,*,#9370,.T.); +#12117=ORIENTED_EDGE('',*,*,#9371,.T.); +#12118=ORIENTED_EDGE('',*,*,#9201,.F.); +#12119=ORIENTED_EDGE('',*,*,#9371,.F.); +#12120=ORIENTED_EDGE('',*,*,#9372,.T.); +#12121=ORIENTED_EDGE('',*,*,#9373,.T.); +#12122=ORIENTED_EDGE('',*,*,#9202,.F.); +#12123=ORIENTED_EDGE('',*,*,#9373,.F.); +#12124=ORIENTED_EDGE('',*,*,#9374,.T.); +#12125=ORIENTED_EDGE('',*,*,#9367,.F.); +#12126=ORIENTED_EDGE('',*,*,#9203,.F.); +#12127=ORIENTED_EDGE('',*,*,#9374,.F.); +#12128=ORIENTED_EDGE('',*,*,#9372,.F.); +#12129=ORIENTED_EDGE('',*,*,#9370,.F.); +#12130=ORIENTED_EDGE('',*,*,#9368,.F.); +#12131=ORIENTED_EDGE('',*,*,#9375,.T.); +#12132=ORIENTED_EDGE('',*,*,#9376,.T.); +#12133=ORIENTED_EDGE('',*,*,#9377,.T.); +#12134=ORIENTED_EDGE('',*,*,#9204,.F.); +#12135=ORIENTED_EDGE('',*,*,#9377,.F.); +#12136=ORIENTED_EDGE('',*,*,#9378,.T.); +#12137=ORIENTED_EDGE('',*,*,#9379,.T.); +#12138=ORIENTED_EDGE('',*,*,#9205,.F.); +#12139=ORIENTED_EDGE('',*,*,#9379,.F.); +#12140=ORIENTED_EDGE('',*,*,#9380,.T.); +#12141=ORIENTED_EDGE('',*,*,#9381,.T.); +#12142=ORIENTED_EDGE('',*,*,#9206,.F.); +#12143=ORIENTED_EDGE('',*,*,#9381,.F.); +#12144=ORIENTED_EDGE('',*,*,#9382,.T.); +#12145=ORIENTED_EDGE('',*,*,#9375,.F.); +#12146=ORIENTED_EDGE('',*,*,#9207,.F.); +#12147=ORIENTED_EDGE('',*,*,#9382,.F.); +#12148=ORIENTED_EDGE('',*,*,#9380,.F.); +#12149=ORIENTED_EDGE('',*,*,#9378,.F.); +#12150=ORIENTED_EDGE('',*,*,#9376,.F.); +#12151=ORIENTED_EDGE('',*,*,#9383,.T.); +#12152=ORIENTED_EDGE('',*,*,#9384,.T.); +#12153=ORIENTED_EDGE('',*,*,#9385,.T.); +#12154=ORIENTED_EDGE('',*,*,#9208,.F.); +#12155=ORIENTED_EDGE('',*,*,#9385,.F.); +#12156=ORIENTED_EDGE('',*,*,#9386,.T.); +#12157=ORIENTED_EDGE('',*,*,#9387,.T.); +#12158=ORIENTED_EDGE('',*,*,#9209,.F.); +#12159=ORIENTED_EDGE('',*,*,#9387,.F.); +#12160=ORIENTED_EDGE('',*,*,#9388,.T.); +#12161=ORIENTED_EDGE('',*,*,#9389,.T.); +#12162=ORIENTED_EDGE('',*,*,#9210,.F.); +#12163=ORIENTED_EDGE('',*,*,#9389,.F.); +#12164=ORIENTED_EDGE('',*,*,#9390,.T.); +#12165=ORIENTED_EDGE('',*,*,#9383,.F.); +#12166=ORIENTED_EDGE('',*,*,#9211,.F.); +#12167=ORIENTED_EDGE('',*,*,#9390,.F.); +#12168=ORIENTED_EDGE('',*,*,#9388,.F.); +#12169=ORIENTED_EDGE('',*,*,#9386,.F.); +#12170=ORIENTED_EDGE('',*,*,#9384,.F.); +#12171=ORIENTED_EDGE('',*,*,#9391,.T.); +#12172=ORIENTED_EDGE('',*,*,#9392,.T.); +#12173=ORIENTED_EDGE('',*,*,#9393,.T.); +#12174=ORIENTED_EDGE('',*,*,#9245,.F.); +#12175=ORIENTED_EDGE('',*,*,#9393,.F.); +#12176=ORIENTED_EDGE('',*,*,#9394,.T.); +#12177=ORIENTED_EDGE('',*,*,#9395,.T.); +#12178=ORIENTED_EDGE('',*,*,#9246,.F.); +#12179=ORIENTED_EDGE('',*,*,#9395,.F.); +#12180=ORIENTED_EDGE('',*,*,#9396,.T.); +#12181=ORIENTED_EDGE('',*,*,#9397,.T.); +#12182=ORIENTED_EDGE('',*,*,#9247,.F.); +#12183=ORIENTED_EDGE('',*,*,#9397,.F.); +#12184=ORIENTED_EDGE('',*,*,#9398,.T.); +#12185=ORIENTED_EDGE('',*,*,#9391,.F.); +#12186=ORIENTED_EDGE('',*,*,#9248,.F.); +#12187=ORIENTED_EDGE('',*,*,#9398,.F.); +#12188=ORIENTED_EDGE('',*,*,#9396,.F.); +#12189=ORIENTED_EDGE('',*,*,#9394,.F.); +#12190=ORIENTED_EDGE('',*,*,#9392,.F.); +#12191=ORIENTED_EDGE('',*,*,#9399,.T.); +#12192=ORIENTED_EDGE('',*,*,#9400,.T.); +#12193=ORIENTED_EDGE('',*,*,#9401,.T.); +#12194=ORIENTED_EDGE('',*,*,#9249,.F.); +#12195=ORIENTED_EDGE('',*,*,#9401,.F.); +#12196=ORIENTED_EDGE('',*,*,#9402,.T.); +#12197=ORIENTED_EDGE('',*,*,#9403,.T.); +#12198=ORIENTED_EDGE('',*,*,#9250,.F.); +#12199=ORIENTED_EDGE('',*,*,#9403,.F.); +#12200=ORIENTED_EDGE('',*,*,#9404,.T.); +#12201=ORIENTED_EDGE('',*,*,#9405,.T.); +#12202=ORIENTED_EDGE('',*,*,#9251,.F.); +#12203=ORIENTED_EDGE('',*,*,#9405,.F.); +#12204=ORIENTED_EDGE('',*,*,#9406,.T.); +#12205=ORIENTED_EDGE('',*,*,#9399,.F.); +#12206=ORIENTED_EDGE('',*,*,#9252,.F.); +#12207=ORIENTED_EDGE('',*,*,#9406,.F.); +#12208=ORIENTED_EDGE('',*,*,#9404,.F.); +#12209=ORIENTED_EDGE('',*,*,#9402,.F.); +#12210=ORIENTED_EDGE('',*,*,#9400,.F.); +#12211=ORIENTED_EDGE('',*,*,#9407,.T.); +#12212=ORIENTED_EDGE('',*,*,#9408,.T.); +#12213=ORIENTED_EDGE('',*,*,#9409,.T.); +#12214=ORIENTED_EDGE('',*,*,#9253,.F.); +#12215=ORIENTED_EDGE('',*,*,#9409,.F.); +#12216=ORIENTED_EDGE('',*,*,#9410,.T.); +#12217=ORIENTED_EDGE('',*,*,#9411,.T.); +#12218=ORIENTED_EDGE('',*,*,#9254,.F.); +#12219=ORIENTED_EDGE('',*,*,#9411,.F.); +#12220=ORIENTED_EDGE('',*,*,#9412,.T.); +#12221=ORIENTED_EDGE('',*,*,#9413,.T.); +#12222=ORIENTED_EDGE('',*,*,#9255,.F.); +#12223=ORIENTED_EDGE('',*,*,#9413,.F.); +#12224=ORIENTED_EDGE('',*,*,#9414,.T.); +#12225=ORIENTED_EDGE('',*,*,#9407,.F.); +#12226=ORIENTED_EDGE('',*,*,#9256,.F.); +#12227=ORIENTED_EDGE('',*,*,#9414,.F.); +#12228=ORIENTED_EDGE('',*,*,#9412,.F.); +#12229=ORIENTED_EDGE('',*,*,#9410,.F.); +#12230=ORIENTED_EDGE('',*,*,#9408,.F.); +#12231=ORIENTED_EDGE('',*,*,#9415,.T.); +#12232=ORIENTED_EDGE('',*,*,#9416,.T.); +#12233=ORIENTED_EDGE('',*,*,#9417,.T.); +#12234=ORIENTED_EDGE('',*,*,#9257,.F.); +#12235=ORIENTED_EDGE('',*,*,#9417,.F.); +#12236=ORIENTED_EDGE('',*,*,#9418,.T.); +#12237=ORIENTED_EDGE('',*,*,#9419,.T.); +#12238=ORIENTED_EDGE('',*,*,#9258,.F.); +#12239=ORIENTED_EDGE('',*,*,#9419,.F.); +#12240=ORIENTED_EDGE('',*,*,#9420,.T.); +#12241=ORIENTED_EDGE('',*,*,#9421,.T.); +#12242=ORIENTED_EDGE('',*,*,#9259,.F.); +#12243=ORIENTED_EDGE('',*,*,#9421,.F.); +#12244=ORIENTED_EDGE('',*,*,#9422,.T.); +#12245=ORIENTED_EDGE('',*,*,#9415,.F.); +#12246=ORIENTED_EDGE('',*,*,#9260,.F.); +#12247=ORIENTED_EDGE('',*,*,#9422,.F.); +#12248=ORIENTED_EDGE('',*,*,#9420,.F.); +#12249=ORIENTED_EDGE('',*,*,#9418,.F.); +#12250=ORIENTED_EDGE('',*,*,#9416,.F.); +#12251=ORIENTED_EDGE('',*,*,#9423,.T.); +#12252=ORIENTED_EDGE('',*,*,#9424,.T.); +#12253=ORIENTED_EDGE('',*,*,#9425,.T.); +#12254=ORIENTED_EDGE('',*,*,#9261,.F.); +#12255=ORIENTED_EDGE('',*,*,#9425,.F.); +#12256=ORIENTED_EDGE('',*,*,#9426,.T.); +#12257=ORIENTED_EDGE('',*,*,#9427,.T.); +#12258=ORIENTED_EDGE('',*,*,#9262,.F.); +#12259=ORIENTED_EDGE('',*,*,#9427,.F.); +#12260=ORIENTED_EDGE('',*,*,#9428,.T.); +#12261=ORIENTED_EDGE('',*,*,#9429,.T.); +#12262=ORIENTED_EDGE('',*,*,#9263,.F.); +#12263=ORIENTED_EDGE('',*,*,#9429,.F.); +#12264=ORIENTED_EDGE('',*,*,#9430,.T.); +#12265=ORIENTED_EDGE('',*,*,#9423,.F.); +#12266=ORIENTED_EDGE('',*,*,#9264,.F.); +#12267=ORIENTED_EDGE('',*,*,#9430,.F.); +#12268=ORIENTED_EDGE('',*,*,#9428,.F.); +#12269=ORIENTED_EDGE('',*,*,#9426,.F.); +#12270=ORIENTED_EDGE('',*,*,#9424,.F.); +#12271=ORIENTED_EDGE('',*,*,#9431,.T.); +#12272=ORIENTED_EDGE('',*,*,#9432,.T.); +#12273=ORIENTED_EDGE('',*,*,#9433,.T.); +#12274=ORIENTED_EDGE('',*,*,#9265,.F.); +#12275=ORIENTED_EDGE('',*,*,#9433,.F.); +#12276=ORIENTED_EDGE('',*,*,#9434,.T.); +#12277=ORIENTED_EDGE('',*,*,#9435,.T.); +#12278=ORIENTED_EDGE('',*,*,#9266,.F.); +#12279=ORIENTED_EDGE('',*,*,#9435,.F.); +#12280=ORIENTED_EDGE('',*,*,#9436,.T.); +#12281=ORIENTED_EDGE('',*,*,#9437,.T.); +#12282=ORIENTED_EDGE('',*,*,#9267,.F.); +#12283=ORIENTED_EDGE('',*,*,#9437,.F.); +#12284=ORIENTED_EDGE('',*,*,#9438,.T.); +#12285=ORIENTED_EDGE('',*,*,#9431,.F.); +#12286=ORIENTED_EDGE('',*,*,#9268,.F.); +#12287=ORIENTED_EDGE('',*,*,#9438,.F.); +#12288=ORIENTED_EDGE('',*,*,#9436,.F.); +#12289=ORIENTED_EDGE('',*,*,#9434,.F.); +#12290=ORIENTED_EDGE('',*,*,#9432,.F.); +#12291=ORIENTED_EDGE('',*,*,#9439,.T.); +#12292=ORIENTED_EDGE('',*,*,#9440,.T.); +#12293=ORIENTED_EDGE('',*,*,#9441,.T.); +#12294=ORIENTED_EDGE('',*,*,#9269,.F.); +#12295=ORIENTED_EDGE('',*,*,#9441,.F.); +#12296=ORIENTED_EDGE('',*,*,#9442,.T.); +#12297=ORIENTED_EDGE('',*,*,#9443,.T.); +#12298=ORIENTED_EDGE('',*,*,#9270,.F.); +#12299=ORIENTED_EDGE('',*,*,#9443,.F.); +#12300=ORIENTED_EDGE('',*,*,#9444,.T.); +#12301=ORIENTED_EDGE('',*,*,#9445,.T.); +#12302=ORIENTED_EDGE('',*,*,#9271,.F.); +#12303=ORIENTED_EDGE('',*,*,#9445,.F.); +#12304=ORIENTED_EDGE('',*,*,#9446,.T.); +#12305=ORIENTED_EDGE('',*,*,#9439,.F.); +#12306=ORIENTED_EDGE('',*,*,#9272,.F.); +#12307=ORIENTED_EDGE('',*,*,#9446,.F.); +#12308=ORIENTED_EDGE('',*,*,#9444,.F.); +#12309=ORIENTED_EDGE('',*,*,#9442,.F.); +#12310=ORIENTED_EDGE('',*,*,#9440,.F.); +#12311=ORIENTED_EDGE('',*,*,#9447,.T.); +#12312=ORIENTED_EDGE('',*,*,#9448,.T.); +#12313=ORIENTED_EDGE('',*,*,#9449,.T.); +#12314=ORIENTED_EDGE('',*,*,#9215,.F.); +#12315=ORIENTED_EDGE('',*,*,#9449,.F.); +#12316=ORIENTED_EDGE('',*,*,#9450,.T.); +#12317=ORIENTED_EDGE('',*,*,#9451,.T.); +#12318=ORIENTED_EDGE('',*,*,#9216,.F.); +#12319=ORIENTED_EDGE('',*,*,#9451,.F.); +#12320=ORIENTED_EDGE('',*,*,#9452,.T.); +#12321=ORIENTED_EDGE('',*,*,#9453,.T.); +#12322=ORIENTED_EDGE('',*,*,#9217,.F.); +#12323=ORIENTED_EDGE('',*,*,#9453,.F.); +#12324=ORIENTED_EDGE('',*,*,#9454,.T.); +#12325=ORIENTED_EDGE('',*,*,#9447,.F.); +#12326=ORIENTED_EDGE('',*,*,#9218,.F.); +#12327=ORIENTED_EDGE('',*,*,#9454,.F.); +#12328=ORIENTED_EDGE('',*,*,#9452,.F.); +#12329=ORIENTED_EDGE('',*,*,#9450,.F.); +#12330=ORIENTED_EDGE('',*,*,#9448,.F.); +#12331=ORIENTED_EDGE('',*,*,#9455,.T.); +#12332=ORIENTED_EDGE('',*,*,#9456,.T.); +#12333=ORIENTED_EDGE('',*,*,#9457,.T.); +#12334=ORIENTED_EDGE('',*,*,#9219,.F.); +#12335=ORIENTED_EDGE('',*,*,#9457,.F.); +#12336=ORIENTED_EDGE('',*,*,#9458,.T.); +#12337=ORIENTED_EDGE('',*,*,#9459,.T.); +#12338=ORIENTED_EDGE('',*,*,#9220,.F.); +#12339=ORIENTED_EDGE('',*,*,#9459,.F.); +#12340=ORIENTED_EDGE('',*,*,#9460,.T.); +#12341=ORIENTED_EDGE('',*,*,#9461,.T.); +#12342=ORIENTED_EDGE('',*,*,#9221,.F.); +#12343=ORIENTED_EDGE('',*,*,#9461,.F.); +#12344=ORIENTED_EDGE('',*,*,#9462,.T.); +#12345=ORIENTED_EDGE('',*,*,#9455,.F.); +#12346=ORIENTED_EDGE('',*,*,#9222,.F.); +#12347=ORIENTED_EDGE('',*,*,#9462,.F.); +#12348=ORIENTED_EDGE('',*,*,#9460,.F.); +#12349=ORIENTED_EDGE('',*,*,#9458,.F.); +#12350=ORIENTED_EDGE('',*,*,#9456,.F.); +#12351=ORIENTED_EDGE('',*,*,#9463,.T.); +#12352=ORIENTED_EDGE('',*,*,#9464,.T.); +#12353=ORIENTED_EDGE('',*,*,#9465,.T.); +#12354=ORIENTED_EDGE('',*,*,#9223,.F.); +#12355=ORIENTED_EDGE('',*,*,#9465,.F.); +#12356=ORIENTED_EDGE('',*,*,#9466,.T.); +#12357=ORIENTED_EDGE('',*,*,#9467,.T.); +#12358=ORIENTED_EDGE('',*,*,#9224,.F.); +#12359=ORIENTED_EDGE('',*,*,#9467,.F.); +#12360=ORIENTED_EDGE('',*,*,#9468,.T.); +#12361=ORIENTED_EDGE('',*,*,#9469,.T.); +#12362=ORIENTED_EDGE('',*,*,#9225,.F.); +#12363=ORIENTED_EDGE('',*,*,#9469,.F.); +#12364=ORIENTED_EDGE('',*,*,#9470,.T.); +#12365=ORIENTED_EDGE('',*,*,#9463,.F.); +#12366=ORIENTED_EDGE('',*,*,#9226,.F.); +#12367=ORIENTED_EDGE('',*,*,#9470,.F.); +#12368=ORIENTED_EDGE('',*,*,#9468,.F.); +#12369=ORIENTED_EDGE('',*,*,#9466,.F.); +#12370=ORIENTED_EDGE('',*,*,#9464,.F.); +#12371=ORIENTED_EDGE('',*,*,#9471,.T.); +#12372=ORIENTED_EDGE('',*,*,#9472,.T.); +#12373=ORIENTED_EDGE('',*,*,#9473,.T.); +#12374=ORIENTED_EDGE('',*,*,#9227,.F.); +#12375=ORIENTED_EDGE('',*,*,#9473,.F.); +#12376=ORIENTED_EDGE('',*,*,#9474,.T.); +#12377=ORIENTED_EDGE('',*,*,#9475,.T.); +#12378=ORIENTED_EDGE('',*,*,#9228,.F.); +#12379=ORIENTED_EDGE('',*,*,#9475,.F.); +#12380=ORIENTED_EDGE('',*,*,#9476,.T.); +#12381=ORIENTED_EDGE('',*,*,#9477,.T.); +#12382=ORIENTED_EDGE('',*,*,#9229,.F.); +#12383=ORIENTED_EDGE('',*,*,#9477,.F.); +#12384=ORIENTED_EDGE('',*,*,#9478,.T.); +#12385=ORIENTED_EDGE('',*,*,#9471,.F.); +#12386=ORIENTED_EDGE('',*,*,#9230,.F.); +#12387=ORIENTED_EDGE('',*,*,#9478,.F.); +#12388=ORIENTED_EDGE('',*,*,#9476,.F.); +#12389=ORIENTED_EDGE('',*,*,#9474,.F.); +#12390=ORIENTED_EDGE('',*,*,#9472,.F.); +#12391=ORIENTED_EDGE('',*,*,#9479,.T.); +#12392=ORIENTED_EDGE('',*,*,#9480,.T.); +#12393=ORIENTED_EDGE('',*,*,#9481,.T.); +#12394=ORIENTED_EDGE('',*,*,#9231,.F.); +#12395=ORIENTED_EDGE('',*,*,#9481,.F.); +#12396=ORIENTED_EDGE('',*,*,#9482,.T.); +#12397=ORIENTED_EDGE('',*,*,#9483,.T.); +#12398=ORIENTED_EDGE('',*,*,#9232,.F.); +#12399=ORIENTED_EDGE('',*,*,#9483,.F.); +#12400=ORIENTED_EDGE('',*,*,#9484,.T.); +#12401=ORIENTED_EDGE('',*,*,#9485,.T.); +#12402=ORIENTED_EDGE('',*,*,#9233,.F.); +#12403=ORIENTED_EDGE('',*,*,#9485,.F.); +#12404=ORIENTED_EDGE('',*,*,#9486,.T.); +#12405=ORIENTED_EDGE('',*,*,#9479,.F.); +#12406=ORIENTED_EDGE('',*,*,#9234,.F.); +#12407=ORIENTED_EDGE('',*,*,#9486,.F.); +#12408=ORIENTED_EDGE('',*,*,#9484,.F.); +#12409=ORIENTED_EDGE('',*,*,#9482,.F.); +#12410=ORIENTED_EDGE('',*,*,#9480,.F.); +#12411=ORIENTED_EDGE('',*,*,#9487,.T.); +#12412=ORIENTED_EDGE('',*,*,#9488,.T.); +#12413=ORIENTED_EDGE('',*,*,#9489,.T.); +#12414=ORIENTED_EDGE('',*,*,#9235,.F.); +#12415=ORIENTED_EDGE('',*,*,#9489,.F.); +#12416=ORIENTED_EDGE('',*,*,#9490,.T.); +#12417=ORIENTED_EDGE('',*,*,#9491,.T.); +#12418=ORIENTED_EDGE('',*,*,#9236,.F.); +#12419=ORIENTED_EDGE('',*,*,#9491,.F.); +#12420=ORIENTED_EDGE('',*,*,#9492,.T.); +#12421=ORIENTED_EDGE('',*,*,#9493,.T.); +#12422=ORIENTED_EDGE('',*,*,#9237,.F.); +#12423=ORIENTED_EDGE('',*,*,#9493,.F.); +#12424=ORIENTED_EDGE('',*,*,#9494,.T.); +#12425=ORIENTED_EDGE('',*,*,#9487,.F.); +#12426=ORIENTED_EDGE('',*,*,#9238,.F.); +#12427=ORIENTED_EDGE('',*,*,#9494,.F.); +#12428=ORIENTED_EDGE('',*,*,#9492,.F.); +#12429=ORIENTED_EDGE('',*,*,#9490,.F.); +#12430=ORIENTED_EDGE('',*,*,#9488,.F.); +#12431=ORIENTED_EDGE('',*,*,#9495,.T.); +#12432=ORIENTED_EDGE('',*,*,#9496,.T.); +#12433=ORIENTED_EDGE('',*,*,#9497,.T.); +#12434=ORIENTED_EDGE('',*,*,#9239,.F.); +#12435=ORIENTED_EDGE('',*,*,#9497,.F.); +#12436=ORIENTED_EDGE('',*,*,#9498,.T.); +#12437=ORIENTED_EDGE('',*,*,#9499,.T.); +#12438=ORIENTED_EDGE('',*,*,#9240,.F.); +#12439=ORIENTED_EDGE('',*,*,#9499,.F.); +#12440=ORIENTED_EDGE('',*,*,#9500,.T.); +#12441=ORIENTED_EDGE('',*,*,#9501,.T.); +#12442=ORIENTED_EDGE('',*,*,#9241,.F.); +#12443=ORIENTED_EDGE('',*,*,#9501,.F.); +#12444=ORIENTED_EDGE('',*,*,#9502,.T.); +#12445=ORIENTED_EDGE('',*,*,#9495,.F.); +#12446=ORIENTED_EDGE('',*,*,#9242,.F.); +#12447=ORIENTED_EDGE('',*,*,#9502,.F.); +#12448=ORIENTED_EDGE('',*,*,#9500,.F.); +#12449=ORIENTED_EDGE('',*,*,#9498,.F.); +#12450=ORIENTED_EDGE('',*,*,#9496,.F.); +#12451=ORIENTED_EDGE('',*,*,#9278,.F.); +#12452=ORIENTED_EDGE('',*,*,#9275,.F.); +#12453=ORIENTED_EDGE('',*,*,#9277,.F.); +#12454=ORIENTED_EDGE('',*,*,#9274,.F.); +#12455=ORIENTED_EDGE('',*,*,#9503,.T.); +#12456=ORIENTED_EDGE('',*,*,#9504,.T.); +#12457=ORIENTED_EDGE('',*,*,#9505,.T.); +#12458=ORIENTED_EDGE('',*,*,#9506,.T.); +#12459=ORIENTED_EDGE('',*,*,#9507,.T.); +#12460=ORIENTED_EDGE('',*,*,#9508,.T.); +#12461=ORIENTED_EDGE('',*,*,#9509,.T.); +#12462=ORIENTED_EDGE('',*,*,#9504,.F.); +#12463=ORIENTED_EDGE('',*,*,#9510,.T.); +#12464=ORIENTED_EDGE('',*,*,#9511,.T.); +#12465=ORIENTED_EDGE('',*,*,#9512,.T.); +#12466=ORIENTED_EDGE('',*,*,#9508,.F.); +#12467=ORIENTED_EDGE('',*,*,#9513,.T.); +#12468=ORIENTED_EDGE('',*,*,#9506,.F.); +#12469=ORIENTED_EDGE('',*,*,#9514,.T.); +#12470=ORIENTED_EDGE('',*,*,#9511,.F.); +#12471=ORIENTED_EDGE('',*,*,#9513,.F.); +#12472=ORIENTED_EDGE('',*,*,#9510,.F.); +#12473=ORIENTED_EDGE('',*,*,#9507,.F.); +#12474=ORIENTED_EDGE('',*,*,#9503,.F.); +#12475=ORIENTED_EDGE('',*,*,#9505,.F.); +#12476=ORIENTED_EDGE('',*,*,#9509,.F.); +#12477=ORIENTED_EDGE('',*,*,#9512,.F.); +#12478=ORIENTED_EDGE('',*,*,#9514,.F.); +#12479=ORIENTED_EDGE('',*,*,#9515,.T.); +#12480=ORIENTED_EDGE('',*,*,#9516,.T.); +#12481=ORIENTED_EDGE('',*,*,#9517,.T.); +#12482=ORIENTED_EDGE('',*,*,#9518,.T.); +#12483=ORIENTED_EDGE('',*,*,#9519,.T.); +#12484=ORIENTED_EDGE('',*,*,#9520,.T.); +#12485=ORIENTED_EDGE('',*,*,#9521,.T.); +#12486=ORIENTED_EDGE('',*,*,#9516,.F.); +#12487=ORIENTED_EDGE('',*,*,#9522,.T.); +#12488=ORIENTED_EDGE('',*,*,#9523,.T.); +#12489=ORIENTED_EDGE('',*,*,#9524,.T.); +#12490=ORIENTED_EDGE('',*,*,#9520,.F.); +#12491=ORIENTED_EDGE('',*,*,#9525,.T.); +#12492=ORIENTED_EDGE('',*,*,#9518,.F.); +#12493=ORIENTED_EDGE('',*,*,#9526,.T.); +#12494=ORIENTED_EDGE('',*,*,#9523,.F.); +#12495=ORIENTED_EDGE('',*,*,#9525,.F.); +#12496=ORIENTED_EDGE('',*,*,#9522,.F.); +#12497=ORIENTED_EDGE('',*,*,#9519,.F.); +#12498=ORIENTED_EDGE('',*,*,#9515,.F.); +#12499=ORIENTED_EDGE('',*,*,#9517,.F.); +#12500=ORIENTED_EDGE('',*,*,#9521,.F.); +#12501=ORIENTED_EDGE('',*,*,#9524,.F.); +#12502=ORIENTED_EDGE('',*,*,#9526,.F.); +#12503=ORIENTED_EDGE('',*,*,#9527,.T.); +#12504=ORIENTED_EDGE('',*,*,#9528,.T.); +#12505=ORIENTED_EDGE('',*,*,#9529,.T.); +#12506=ORIENTED_EDGE('',*,*,#9530,.T.); +#12507=ORIENTED_EDGE('',*,*,#9531,.T.); +#12508=ORIENTED_EDGE('',*,*,#9532,.T.); +#12509=ORIENTED_EDGE('',*,*,#9533,.T.); +#12510=ORIENTED_EDGE('',*,*,#9528,.F.); +#12511=ORIENTED_EDGE('',*,*,#9534,.T.); +#12512=ORIENTED_EDGE('',*,*,#9535,.T.); +#12513=ORIENTED_EDGE('',*,*,#9536,.T.); +#12514=ORIENTED_EDGE('',*,*,#9532,.F.); +#12515=ORIENTED_EDGE('',*,*,#9537,.T.); +#12516=ORIENTED_EDGE('',*,*,#9530,.F.); +#12517=ORIENTED_EDGE('',*,*,#9538,.T.); +#12518=ORIENTED_EDGE('',*,*,#9535,.F.); +#12519=ORIENTED_EDGE('',*,*,#9537,.F.); +#12520=ORIENTED_EDGE('',*,*,#9534,.F.); +#12521=ORIENTED_EDGE('',*,*,#9531,.F.); +#12522=ORIENTED_EDGE('',*,*,#9527,.F.); +#12523=ORIENTED_EDGE('',*,*,#9529,.F.); +#12524=ORIENTED_EDGE('',*,*,#9533,.F.); +#12525=ORIENTED_EDGE('',*,*,#9536,.F.); +#12526=ORIENTED_EDGE('',*,*,#9538,.F.); +#12527=ORIENTED_EDGE('',*,*,#9539,.T.); +#12528=ORIENTED_EDGE('',*,*,#9540,.T.); +#12529=ORIENTED_EDGE('',*,*,#9541,.T.); +#12530=ORIENTED_EDGE('',*,*,#9542,.T.); +#12531=ORIENTED_EDGE('',*,*,#9543,.T.); +#12532=ORIENTED_EDGE('',*,*,#9544,.T.); +#12533=ORIENTED_EDGE('',*,*,#9545,.T.); +#12534=ORIENTED_EDGE('',*,*,#9540,.F.); +#12535=ORIENTED_EDGE('',*,*,#9546,.T.); +#12536=ORIENTED_EDGE('',*,*,#9547,.T.); +#12537=ORIENTED_EDGE('',*,*,#9548,.T.); +#12538=ORIENTED_EDGE('',*,*,#9544,.F.); +#12539=ORIENTED_EDGE('',*,*,#9549,.T.); +#12540=ORIENTED_EDGE('',*,*,#9542,.F.); +#12541=ORIENTED_EDGE('',*,*,#9550,.T.); +#12542=ORIENTED_EDGE('',*,*,#9547,.F.); +#12543=ORIENTED_EDGE('',*,*,#9549,.F.); +#12544=ORIENTED_EDGE('',*,*,#9546,.F.); +#12545=ORIENTED_EDGE('',*,*,#9543,.F.); +#12546=ORIENTED_EDGE('',*,*,#9539,.F.); +#12547=ORIENTED_EDGE('',*,*,#9541,.F.); +#12548=ORIENTED_EDGE('',*,*,#9545,.F.); +#12549=ORIENTED_EDGE('',*,*,#9548,.F.); +#12550=ORIENTED_EDGE('',*,*,#9550,.F.); +#12551=ORIENTED_EDGE('',*,*,#9551,.T.); +#12552=ORIENTED_EDGE('',*,*,#9552,.T.); +#12553=ORIENTED_EDGE('',*,*,#9553,.T.); +#12554=ORIENTED_EDGE('',*,*,#9554,.T.); +#12555=ORIENTED_EDGE('',*,*,#9555,.T.); +#12556=ORIENTED_EDGE('',*,*,#9556,.T.); +#12557=ORIENTED_EDGE('',*,*,#9557,.T.); +#12558=ORIENTED_EDGE('',*,*,#9552,.F.); +#12559=ORIENTED_EDGE('',*,*,#9558,.T.); +#12560=ORIENTED_EDGE('',*,*,#9559,.T.); +#12561=ORIENTED_EDGE('',*,*,#9560,.T.); +#12562=ORIENTED_EDGE('',*,*,#9556,.F.); +#12563=ORIENTED_EDGE('',*,*,#9561,.T.); +#12564=ORIENTED_EDGE('',*,*,#9554,.F.); +#12565=ORIENTED_EDGE('',*,*,#9562,.T.); +#12566=ORIENTED_EDGE('',*,*,#9559,.F.); +#12567=ORIENTED_EDGE('',*,*,#9561,.F.); +#12568=ORIENTED_EDGE('',*,*,#9558,.F.); +#12569=ORIENTED_EDGE('',*,*,#9555,.F.); +#12570=ORIENTED_EDGE('',*,*,#9551,.F.); +#12571=ORIENTED_EDGE('',*,*,#9553,.F.); +#12572=ORIENTED_EDGE('',*,*,#9557,.F.); +#12573=ORIENTED_EDGE('',*,*,#9560,.F.); +#12574=ORIENTED_EDGE('',*,*,#9562,.F.); +#12575=ORIENTED_EDGE('',*,*,#9563,.T.); +#12576=ORIENTED_EDGE('',*,*,#9564,.T.); +#12577=ORIENTED_EDGE('',*,*,#9565,.T.); +#12578=ORIENTED_EDGE('',*,*,#9566,.T.); +#12579=ORIENTED_EDGE('',*,*,#9567,.T.); +#12580=ORIENTED_EDGE('',*,*,#9568,.T.); +#12581=ORIENTED_EDGE('',*,*,#9569,.T.); +#12582=ORIENTED_EDGE('',*,*,#9564,.F.); +#12583=ORIENTED_EDGE('',*,*,#9570,.T.); +#12584=ORIENTED_EDGE('',*,*,#9571,.T.); +#12585=ORIENTED_EDGE('',*,*,#9572,.T.); +#12586=ORIENTED_EDGE('',*,*,#9568,.F.); +#12587=ORIENTED_EDGE('',*,*,#9573,.T.); +#12588=ORIENTED_EDGE('',*,*,#9566,.F.); +#12589=ORIENTED_EDGE('',*,*,#9574,.T.); +#12590=ORIENTED_EDGE('',*,*,#9571,.F.); +#12591=ORIENTED_EDGE('',*,*,#9573,.F.); +#12592=ORIENTED_EDGE('',*,*,#9570,.F.); +#12593=ORIENTED_EDGE('',*,*,#9567,.F.); +#12594=ORIENTED_EDGE('',*,*,#9563,.F.); +#12595=ORIENTED_EDGE('',*,*,#9565,.F.); +#12596=ORIENTED_EDGE('',*,*,#9569,.F.); +#12597=ORIENTED_EDGE('',*,*,#9572,.F.); +#12598=ORIENTED_EDGE('',*,*,#9574,.F.); +#12599=ORIENTED_EDGE('',*,*,#9575,.T.); +#12600=ORIENTED_EDGE('',*,*,#9576,.T.); +#12601=ORIENTED_EDGE('',*,*,#9577,.T.); +#12602=ORIENTED_EDGE('',*,*,#9578,.T.); +#12603=ORIENTED_EDGE('',*,*,#9579,.T.); +#12604=ORIENTED_EDGE('',*,*,#9580,.T.); +#12605=ORIENTED_EDGE('',*,*,#9581,.T.); +#12606=ORIENTED_EDGE('',*,*,#9576,.F.); +#12607=ORIENTED_EDGE('',*,*,#9582,.T.); +#12608=ORIENTED_EDGE('',*,*,#9583,.T.); +#12609=ORIENTED_EDGE('',*,*,#9584,.T.); +#12610=ORIENTED_EDGE('',*,*,#9580,.F.); +#12611=ORIENTED_EDGE('',*,*,#9585,.T.); +#12612=ORIENTED_EDGE('',*,*,#9578,.F.); +#12613=ORIENTED_EDGE('',*,*,#9586,.T.); +#12614=ORIENTED_EDGE('',*,*,#9583,.F.); +#12615=ORIENTED_EDGE('',*,*,#9585,.F.); +#12616=ORIENTED_EDGE('',*,*,#9582,.F.); +#12617=ORIENTED_EDGE('',*,*,#9579,.F.); +#12618=ORIENTED_EDGE('',*,*,#9575,.F.); +#12619=ORIENTED_EDGE('',*,*,#9577,.F.); +#12620=ORIENTED_EDGE('',*,*,#9581,.F.); +#12621=ORIENTED_EDGE('',*,*,#9584,.F.); +#12622=ORIENTED_EDGE('',*,*,#9586,.F.); +#12623=ORIENTED_EDGE('',*,*,#9587,.T.); +#12624=ORIENTED_EDGE('',*,*,#9588,.T.); +#12625=ORIENTED_EDGE('',*,*,#9589,.T.); +#12626=ORIENTED_EDGE('',*,*,#9590,.T.); +#12627=ORIENTED_EDGE('',*,*,#9591,.T.); +#12628=ORIENTED_EDGE('',*,*,#9592,.T.); +#12629=ORIENTED_EDGE('',*,*,#9593,.T.); +#12630=ORIENTED_EDGE('',*,*,#9588,.F.); +#12631=ORIENTED_EDGE('',*,*,#9594,.T.); +#12632=ORIENTED_EDGE('',*,*,#9595,.T.); +#12633=ORIENTED_EDGE('',*,*,#9596,.T.); +#12634=ORIENTED_EDGE('',*,*,#9592,.F.); +#12635=ORIENTED_EDGE('',*,*,#9597,.T.); +#12636=ORIENTED_EDGE('',*,*,#9590,.F.); +#12637=ORIENTED_EDGE('',*,*,#9598,.T.); +#12638=ORIENTED_EDGE('',*,*,#9595,.F.); +#12639=ORIENTED_EDGE('',*,*,#9597,.F.); +#12640=ORIENTED_EDGE('',*,*,#9594,.F.); +#12641=ORIENTED_EDGE('',*,*,#9591,.F.); +#12642=ORIENTED_EDGE('',*,*,#9587,.F.); +#12643=ORIENTED_EDGE('',*,*,#9589,.F.); +#12644=ORIENTED_EDGE('',*,*,#9593,.F.); +#12645=ORIENTED_EDGE('',*,*,#9596,.F.); +#12646=ORIENTED_EDGE('',*,*,#9598,.F.); +#12647=ORIENTED_EDGE('',*,*,#9599,.T.); +#12648=ORIENTED_EDGE('',*,*,#9600,.T.); +#12649=ORIENTED_EDGE('',*,*,#9601,.T.); +#12650=ORIENTED_EDGE('',*,*,#9602,.T.); +#12651=ORIENTED_EDGE('',*,*,#9603,.T.); +#12652=ORIENTED_EDGE('',*,*,#9604,.T.); +#12653=ORIENTED_EDGE('',*,*,#9605,.T.); +#12654=ORIENTED_EDGE('',*,*,#9600,.F.); +#12655=ORIENTED_EDGE('',*,*,#9606,.T.); +#12656=ORIENTED_EDGE('',*,*,#9607,.T.); +#12657=ORIENTED_EDGE('',*,*,#9608,.T.); +#12658=ORIENTED_EDGE('',*,*,#9604,.F.); +#12659=ORIENTED_EDGE('',*,*,#9609,.T.); +#12660=ORIENTED_EDGE('',*,*,#9602,.F.); +#12661=ORIENTED_EDGE('',*,*,#9610,.T.); +#12662=ORIENTED_EDGE('',*,*,#9607,.F.); +#12663=ORIENTED_EDGE('',*,*,#9609,.F.); +#12664=ORIENTED_EDGE('',*,*,#9606,.F.); +#12665=ORIENTED_EDGE('',*,*,#9603,.F.); +#12666=ORIENTED_EDGE('',*,*,#9599,.F.); +#12667=ORIENTED_EDGE('',*,*,#9601,.F.); +#12668=ORIENTED_EDGE('',*,*,#9605,.F.); +#12669=ORIENTED_EDGE('',*,*,#9608,.F.); +#12670=ORIENTED_EDGE('',*,*,#9610,.F.); +#12671=ORIENTED_EDGE('',*,*,#9611,.T.); +#12672=ORIENTED_EDGE('',*,*,#9612,.T.); +#12673=ORIENTED_EDGE('',*,*,#9613,.T.); +#12674=ORIENTED_EDGE('',*,*,#9614,.T.); +#12675=ORIENTED_EDGE('',*,*,#9615,.T.); +#12676=ORIENTED_EDGE('',*,*,#9616,.T.); +#12677=ORIENTED_EDGE('',*,*,#9617,.T.); +#12678=ORIENTED_EDGE('',*,*,#9612,.F.); +#12679=ORIENTED_EDGE('',*,*,#9618,.T.); +#12680=ORIENTED_EDGE('',*,*,#9619,.T.); +#12681=ORIENTED_EDGE('',*,*,#9620,.T.); +#12682=ORIENTED_EDGE('',*,*,#9616,.F.); +#12683=ORIENTED_EDGE('',*,*,#9621,.T.); +#12684=ORIENTED_EDGE('',*,*,#9614,.F.); +#12685=ORIENTED_EDGE('',*,*,#9622,.T.); +#12686=ORIENTED_EDGE('',*,*,#9619,.F.); +#12687=ORIENTED_EDGE('',*,*,#9621,.F.); +#12688=ORIENTED_EDGE('',*,*,#9618,.F.); +#12689=ORIENTED_EDGE('',*,*,#9615,.F.); +#12690=ORIENTED_EDGE('',*,*,#9611,.F.); +#12691=ORIENTED_EDGE('',*,*,#9613,.F.); +#12692=ORIENTED_EDGE('',*,*,#9617,.F.); +#12693=ORIENTED_EDGE('',*,*,#9620,.F.); +#12694=ORIENTED_EDGE('',*,*,#9622,.F.); +#12695=ORIENTED_EDGE('',*,*,#9623,.T.); +#12696=ORIENTED_EDGE('',*,*,#9624,.T.); +#12697=ORIENTED_EDGE('',*,*,#9625,.T.); +#12698=ORIENTED_EDGE('',*,*,#9626,.T.); +#12699=ORIENTED_EDGE('',*,*,#9627,.T.); +#12700=ORIENTED_EDGE('',*,*,#9628,.T.); +#12701=ORIENTED_EDGE('',*,*,#9629,.T.); +#12702=ORIENTED_EDGE('',*,*,#9624,.F.); +#12703=ORIENTED_EDGE('',*,*,#9630,.T.); +#12704=ORIENTED_EDGE('',*,*,#9631,.T.); +#12705=ORIENTED_EDGE('',*,*,#9632,.T.); +#12706=ORIENTED_EDGE('',*,*,#9628,.F.); +#12707=ORIENTED_EDGE('',*,*,#9633,.T.); +#12708=ORIENTED_EDGE('',*,*,#9626,.F.); +#12709=ORIENTED_EDGE('',*,*,#9634,.T.); +#12710=ORIENTED_EDGE('',*,*,#9631,.F.); +#12711=ORIENTED_EDGE('',*,*,#9633,.F.); +#12712=ORIENTED_EDGE('',*,*,#9630,.F.); +#12713=ORIENTED_EDGE('',*,*,#9627,.F.); +#12714=ORIENTED_EDGE('',*,*,#9623,.F.); +#12715=ORIENTED_EDGE('',*,*,#9625,.F.); +#12716=ORIENTED_EDGE('',*,*,#9629,.F.); +#12717=ORIENTED_EDGE('',*,*,#9632,.F.); +#12718=ORIENTED_EDGE('',*,*,#9634,.F.); +#12719=ORIENTED_EDGE('',*,*,#9635,.T.); +#12720=ORIENTED_EDGE('',*,*,#9636,.T.); +#12721=ORIENTED_EDGE('',*,*,#9637,.T.); +#12722=ORIENTED_EDGE('',*,*,#9638,.T.); +#12723=ORIENTED_EDGE('',*,*,#9639,.T.); +#12724=ORIENTED_EDGE('',*,*,#9640,.T.); +#12725=ORIENTED_EDGE('',*,*,#9641,.T.); +#12726=ORIENTED_EDGE('',*,*,#9636,.F.); +#12727=ORIENTED_EDGE('',*,*,#9642,.T.); +#12728=ORIENTED_EDGE('',*,*,#9643,.T.); +#12729=ORIENTED_EDGE('',*,*,#9644,.T.); +#12730=ORIENTED_EDGE('',*,*,#9640,.F.); +#12731=ORIENTED_EDGE('',*,*,#9645,.T.); +#12732=ORIENTED_EDGE('',*,*,#9638,.F.); +#12733=ORIENTED_EDGE('',*,*,#9646,.T.); +#12734=ORIENTED_EDGE('',*,*,#9643,.F.); +#12735=ORIENTED_EDGE('',*,*,#9645,.F.); +#12736=ORIENTED_EDGE('',*,*,#9642,.F.); +#12737=ORIENTED_EDGE('',*,*,#9639,.F.); +#12738=ORIENTED_EDGE('',*,*,#9635,.F.); +#12739=ORIENTED_EDGE('',*,*,#9637,.F.); +#12740=ORIENTED_EDGE('',*,*,#9641,.F.); +#12741=ORIENTED_EDGE('',*,*,#9644,.F.); +#12742=ORIENTED_EDGE('',*,*,#9646,.F.); +#12743=ORIENTED_EDGE('',*,*,#9647,.T.); +#12744=ORIENTED_EDGE('',*,*,#9648,.T.); +#12745=ORIENTED_EDGE('',*,*,#9649,.T.); +#12746=ORIENTED_EDGE('',*,*,#9650,.T.); +#12747=ORIENTED_EDGE('',*,*,#9651,.T.); +#12748=ORIENTED_EDGE('',*,*,#9652,.T.); +#12749=ORIENTED_EDGE('',*,*,#9653,.T.); +#12750=ORIENTED_EDGE('',*,*,#9648,.F.); +#12751=ORIENTED_EDGE('',*,*,#9654,.T.); +#12752=ORIENTED_EDGE('',*,*,#9655,.T.); +#12753=ORIENTED_EDGE('',*,*,#9656,.T.); +#12754=ORIENTED_EDGE('',*,*,#9652,.F.); +#12755=ORIENTED_EDGE('',*,*,#9657,.T.); +#12756=ORIENTED_EDGE('',*,*,#9650,.F.); +#12757=ORIENTED_EDGE('',*,*,#9658,.T.); +#12758=ORIENTED_EDGE('',*,*,#9655,.F.); +#12759=ORIENTED_EDGE('',*,*,#9657,.F.); +#12760=ORIENTED_EDGE('',*,*,#9654,.F.); +#12761=ORIENTED_EDGE('',*,*,#9651,.F.); +#12762=ORIENTED_EDGE('',*,*,#9647,.F.); +#12763=ORIENTED_EDGE('',*,*,#9649,.F.); +#12764=ORIENTED_EDGE('',*,*,#9653,.F.); +#12765=ORIENTED_EDGE('',*,*,#9656,.F.); +#12766=ORIENTED_EDGE('',*,*,#9658,.F.); +#12767=ORIENTED_EDGE('',*,*,#9659,.T.); +#12768=ORIENTED_EDGE('',*,*,#9660,.T.); +#12769=ORIENTED_EDGE('',*,*,#9661,.T.); +#12770=ORIENTED_EDGE('',*,*,#9662,.T.); +#12771=ORIENTED_EDGE('',*,*,#9663,.T.); +#12772=ORIENTED_EDGE('',*,*,#9664,.T.); +#12773=ORIENTED_EDGE('',*,*,#9665,.T.); +#12774=ORIENTED_EDGE('',*,*,#9660,.F.); +#12775=ORIENTED_EDGE('',*,*,#9666,.T.); +#12776=ORIENTED_EDGE('',*,*,#9667,.T.); +#12777=ORIENTED_EDGE('',*,*,#9668,.T.); +#12778=ORIENTED_EDGE('',*,*,#9664,.F.); +#12779=ORIENTED_EDGE('',*,*,#9669,.T.); +#12780=ORIENTED_EDGE('',*,*,#9662,.F.); +#12781=ORIENTED_EDGE('',*,*,#9670,.T.); +#12782=ORIENTED_EDGE('',*,*,#9667,.F.); +#12783=ORIENTED_EDGE('',*,*,#9669,.F.); +#12784=ORIENTED_EDGE('',*,*,#9666,.F.); +#12785=ORIENTED_EDGE('',*,*,#9663,.F.); +#12786=ORIENTED_EDGE('',*,*,#9659,.F.); +#12787=ORIENTED_EDGE('',*,*,#9661,.F.); +#12788=ORIENTED_EDGE('',*,*,#9665,.F.); +#12789=ORIENTED_EDGE('',*,*,#9668,.F.); +#12790=ORIENTED_EDGE('',*,*,#9670,.F.); +#12791=ORIENTED_EDGE('',*,*,#9671,.T.); +#12792=ORIENTED_EDGE('',*,*,#9672,.T.); +#12793=ORIENTED_EDGE('',*,*,#9673,.T.); +#12794=ORIENTED_EDGE('',*,*,#9674,.T.); +#12795=ORIENTED_EDGE('',*,*,#9675,.T.); +#12796=ORIENTED_EDGE('',*,*,#9676,.T.); +#12797=ORIENTED_EDGE('',*,*,#9677,.T.); +#12798=ORIENTED_EDGE('',*,*,#9672,.F.); +#12799=ORIENTED_EDGE('',*,*,#9678,.T.); +#12800=ORIENTED_EDGE('',*,*,#9679,.T.); +#12801=ORIENTED_EDGE('',*,*,#9680,.T.); +#12802=ORIENTED_EDGE('',*,*,#9676,.F.); +#12803=ORIENTED_EDGE('',*,*,#9681,.T.); +#12804=ORIENTED_EDGE('',*,*,#9674,.F.); +#12805=ORIENTED_EDGE('',*,*,#9682,.T.); +#12806=ORIENTED_EDGE('',*,*,#9679,.F.); +#12807=ORIENTED_EDGE('',*,*,#9681,.F.); +#12808=ORIENTED_EDGE('',*,*,#9678,.F.); +#12809=ORIENTED_EDGE('',*,*,#9675,.F.); +#12810=ORIENTED_EDGE('',*,*,#9671,.F.); +#12811=ORIENTED_EDGE('',*,*,#9673,.F.); +#12812=ORIENTED_EDGE('',*,*,#9677,.F.); +#12813=ORIENTED_EDGE('',*,*,#9680,.F.); +#12814=ORIENTED_EDGE('',*,*,#9682,.F.); +#12815=ORIENTED_EDGE('',*,*,#9683,.T.); +#12816=ORIENTED_EDGE('',*,*,#9684,.T.); +#12817=ORIENTED_EDGE('',*,*,#9685,.T.); +#12818=ORIENTED_EDGE('',*,*,#9686,.T.); +#12819=ORIENTED_EDGE('',*,*,#9687,.T.); +#12820=ORIENTED_EDGE('',*,*,#9688,.T.); +#12821=ORIENTED_EDGE('',*,*,#9689,.T.); +#12822=ORIENTED_EDGE('',*,*,#9684,.F.); +#12823=ORIENTED_EDGE('',*,*,#9690,.T.); +#12824=ORIENTED_EDGE('',*,*,#9691,.T.); +#12825=ORIENTED_EDGE('',*,*,#9692,.T.); +#12826=ORIENTED_EDGE('',*,*,#9688,.F.); +#12827=ORIENTED_EDGE('',*,*,#9693,.T.); +#12828=ORIENTED_EDGE('',*,*,#9686,.F.); +#12829=ORIENTED_EDGE('',*,*,#9694,.T.); +#12830=ORIENTED_EDGE('',*,*,#9691,.F.); +#12831=ORIENTED_EDGE('',*,*,#9693,.F.); +#12832=ORIENTED_EDGE('',*,*,#9690,.F.); +#12833=ORIENTED_EDGE('',*,*,#9687,.F.); +#12834=ORIENTED_EDGE('',*,*,#9683,.F.); +#12835=ORIENTED_EDGE('',*,*,#9685,.F.); +#12836=ORIENTED_EDGE('',*,*,#9689,.F.); +#12837=ORIENTED_EDGE('',*,*,#9692,.F.); +#12838=ORIENTED_EDGE('',*,*,#9694,.F.); +#12839=ORIENTED_EDGE('',*,*,#9695,.T.); +#12840=ORIENTED_EDGE('',*,*,#9696,.T.); +#12841=ORIENTED_EDGE('',*,*,#9697,.T.); +#12842=ORIENTED_EDGE('',*,*,#9698,.T.); +#12843=ORIENTED_EDGE('',*,*,#9699,.T.); +#12844=ORIENTED_EDGE('',*,*,#9700,.T.); +#12845=ORIENTED_EDGE('',*,*,#9701,.T.); +#12846=ORIENTED_EDGE('',*,*,#9696,.F.); +#12847=ORIENTED_EDGE('',*,*,#9702,.T.); +#12848=ORIENTED_EDGE('',*,*,#9703,.T.); +#12849=ORIENTED_EDGE('',*,*,#9704,.T.); +#12850=ORIENTED_EDGE('',*,*,#9700,.F.); +#12851=ORIENTED_EDGE('',*,*,#9705,.T.); +#12852=ORIENTED_EDGE('',*,*,#9698,.F.); +#12853=ORIENTED_EDGE('',*,*,#9706,.T.); +#12854=ORIENTED_EDGE('',*,*,#9703,.F.); +#12855=ORIENTED_EDGE('',*,*,#9705,.F.); +#12856=ORIENTED_EDGE('',*,*,#9702,.F.); +#12857=ORIENTED_EDGE('',*,*,#9699,.F.); +#12858=ORIENTED_EDGE('',*,*,#9695,.F.); +#12859=ORIENTED_EDGE('',*,*,#9697,.F.); +#12860=ORIENTED_EDGE('',*,*,#9701,.F.); +#12861=ORIENTED_EDGE('',*,*,#9704,.F.); +#12862=ORIENTED_EDGE('',*,*,#9706,.F.); +#12863=ORIENTED_EDGE('',*,*,#9707,.T.); +#12864=ORIENTED_EDGE('',*,*,#9708,.T.); +#12865=ORIENTED_EDGE('',*,*,#9709,.T.); +#12866=ORIENTED_EDGE('',*,*,#9710,.T.); +#12867=ORIENTED_EDGE('',*,*,#9711,.T.); +#12868=ORIENTED_EDGE('',*,*,#9712,.T.); +#12869=ORIENTED_EDGE('',*,*,#9713,.T.); +#12870=ORIENTED_EDGE('',*,*,#9708,.F.); +#12871=ORIENTED_EDGE('',*,*,#9714,.T.); +#12872=ORIENTED_EDGE('',*,*,#9715,.T.); +#12873=ORIENTED_EDGE('',*,*,#9716,.T.); +#12874=ORIENTED_EDGE('',*,*,#9712,.F.); +#12875=ORIENTED_EDGE('',*,*,#9717,.T.); +#12876=ORIENTED_EDGE('',*,*,#9710,.F.); +#12877=ORIENTED_EDGE('',*,*,#9718,.T.); +#12878=ORIENTED_EDGE('',*,*,#9715,.F.); +#12879=ORIENTED_EDGE('',*,*,#9717,.F.); +#12880=ORIENTED_EDGE('',*,*,#9714,.F.); +#12881=ORIENTED_EDGE('',*,*,#9711,.F.); +#12882=ORIENTED_EDGE('',*,*,#9707,.F.); +#12883=ORIENTED_EDGE('',*,*,#9709,.F.); +#12884=ORIENTED_EDGE('',*,*,#9713,.F.); +#12885=ORIENTED_EDGE('',*,*,#9716,.F.); +#12886=ORIENTED_EDGE('',*,*,#9718,.F.); +#12887=ORIENTED_EDGE('',*,*,#9719,.T.); +#12888=ORIENTED_EDGE('',*,*,#9720,.T.); +#12889=ORIENTED_EDGE('',*,*,#9721,.T.); +#12890=ORIENTED_EDGE('',*,*,#9722,.T.); +#12891=ORIENTED_EDGE('',*,*,#9723,.T.); +#12892=ORIENTED_EDGE('',*,*,#9724,.T.); +#12893=ORIENTED_EDGE('',*,*,#9725,.T.); +#12894=ORIENTED_EDGE('',*,*,#9720,.F.); +#12895=ORIENTED_EDGE('',*,*,#9726,.T.); +#12896=ORIENTED_EDGE('',*,*,#9727,.T.); +#12897=ORIENTED_EDGE('',*,*,#9728,.T.); +#12898=ORIENTED_EDGE('',*,*,#9724,.F.); +#12899=ORIENTED_EDGE('',*,*,#9729,.T.); +#12900=ORIENTED_EDGE('',*,*,#9722,.F.); +#12901=ORIENTED_EDGE('',*,*,#9730,.T.); +#12902=ORIENTED_EDGE('',*,*,#9727,.F.); +#12903=ORIENTED_EDGE('',*,*,#9729,.F.); +#12904=ORIENTED_EDGE('',*,*,#9726,.F.); +#12905=ORIENTED_EDGE('',*,*,#9723,.F.); +#12906=ORIENTED_EDGE('',*,*,#9719,.F.); +#12907=ORIENTED_EDGE('',*,*,#9721,.F.); +#12908=ORIENTED_EDGE('',*,*,#9725,.F.); +#12909=ORIENTED_EDGE('',*,*,#9728,.F.); +#12910=ORIENTED_EDGE('',*,*,#9730,.F.); +#12911=ORIENTED_EDGE('',*,*,#9731,.T.); +#12912=ORIENTED_EDGE('',*,*,#9732,.T.); +#12913=ORIENTED_EDGE('',*,*,#9733,.T.); +#12914=ORIENTED_EDGE('',*,*,#9734,.T.); +#12915=ORIENTED_EDGE('',*,*,#9735,.T.); +#12916=ORIENTED_EDGE('',*,*,#9736,.T.); +#12917=ORIENTED_EDGE('',*,*,#9737,.T.); +#12918=ORIENTED_EDGE('',*,*,#9732,.F.); +#12919=ORIENTED_EDGE('',*,*,#9738,.T.); +#12920=ORIENTED_EDGE('',*,*,#9739,.T.); +#12921=ORIENTED_EDGE('',*,*,#9740,.T.); +#12922=ORIENTED_EDGE('',*,*,#9736,.F.); +#12923=ORIENTED_EDGE('',*,*,#9741,.T.); +#12924=ORIENTED_EDGE('',*,*,#9734,.F.); +#12925=ORIENTED_EDGE('',*,*,#9742,.T.); +#12926=ORIENTED_EDGE('',*,*,#9739,.F.); +#12927=ORIENTED_EDGE('',*,*,#9741,.F.); +#12928=ORIENTED_EDGE('',*,*,#9738,.F.); +#12929=ORIENTED_EDGE('',*,*,#9735,.F.); +#12930=ORIENTED_EDGE('',*,*,#9731,.F.); +#12931=ORIENTED_EDGE('',*,*,#9733,.F.); +#12932=ORIENTED_EDGE('',*,*,#9737,.F.); +#12933=ORIENTED_EDGE('',*,*,#9740,.F.); +#12934=ORIENTED_EDGE('',*,*,#9742,.F.); +#12935=ORIENTED_EDGE('',*,*,#9743,.T.); +#12936=ORIENTED_EDGE('',*,*,#9744,.T.); +#12937=ORIENTED_EDGE('',*,*,#9745,.T.); +#12938=ORIENTED_EDGE('',*,*,#9746,.T.); +#12939=ORIENTED_EDGE('',*,*,#9747,.T.); +#12940=ORIENTED_EDGE('',*,*,#9748,.T.); +#12941=ORIENTED_EDGE('',*,*,#9749,.T.); +#12942=ORIENTED_EDGE('',*,*,#9744,.F.); +#12943=ORIENTED_EDGE('',*,*,#9750,.T.); +#12944=ORIENTED_EDGE('',*,*,#9751,.T.); +#12945=ORIENTED_EDGE('',*,*,#9752,.T.); +#12946=ORIENTED_EDGE('',*,*,#9748,.F.); +#12947=ORIENTED_EDGE('',*,*,#9753,.T.); +#12948=ORIENTED_EDGE('',*,*,#9746,.F.); +#12949=ORIENTED_EDGE('',*,*,#9754,.T.); +#12950=ORIENTED_EDGE('',*,*,#9751,.F.); +#12951=ORIENTED_EDGE('',*,*,#9753,.F.); +#12952=ORIENTED_EDGE('',*,*,#9750,.F.); +#12953=ORIENTED_EDGE('',*,*,#9747,.F.); +#12954=ORIENTED_EDGE('',*,*,#9743,.F.); +#12955=ORIENTED_EDGE('',*,*,#9745,.F.); +#12956=ORIENTED_EDGE('',*,*,#9749,.F.); +#12957=ORIENTED_EDGE('',*,*,#9752,.F.); +#12958=ORIENTED_EDGE('',*,*,#9754,.F.); +#12959=ORIENTED_EDGE('',*,*,#9755,.T.); +#12960=ORIENTED_EDGE('',*,*,#9756,.T.); +#12961=ORIENTED_EDGE('',*,*,#9757,.T.); +#12962=ORIENTED_EDGE('',*,*,#9758,.T.); +#12963=ORIENTED_EDGE('',*,*,#9759,.T.); +#12964=ORIENTED_EDGE('',*,*,#9760,.T.); +#12965=ORIENTED_EDGE('',*,*,#9761,.T.); +#12966=ORIENTED_EDGE('',*,*,#9756,.F.); +#12967=ORIENTED_EDGE('',*,*,#9762,.T.); +#12968=ORIENTED_EDGE('',*,*,#9763,.T.); +#12969=ORIENTED_EDGE('',*,*,#9764,.T.); +#12970=ORIENTED_EDGE('',*,*,#9760,.F.); +#12971=ORIENTED_EDGE('',*,*,#9765,.T.); +#12972=ORIENTED_EDGE('',*,*,#9758,.F.); +#12973=ORIENTED_EDGE('',*,*,#9766,.T.); +#12974=ORIENTED_EDGE('',*,*,#9763,.F.); +#12975=ORIENTED_EDGE('',*,*,#9765,.F.); +#12976=ORIENTED_EDGE('',*,*,#9762,.F.); +#12977=ORIENTED_EDGE('',*,*,#9759,.F.); +#12978=ORIENTED_EDGE('',*,*,#9755,.F.); +#12979=ORIENTED_EDGE('',*,*,#9757,.F.); +#12980=ORIENTED_EDGE('',*,*,#9761,.F.); +#12981=ORIENTED_EDGE('',*,*,#9764,.F.); +#12982=ORIENTED_EDGE('',*,*,#9766,.F.); +#12983=ORIENTED_EDGE('',*,*,#9767,.T.); +#12984=ORIENTED_EDGE('',*,*,#9768,.T.); +#12985=ORIENTED_EDGE('',*,*,#9769,.T.); +#12986=ORIENTED_EDGE('',*,*,#9770,.T.); +#12987=ORIENTED_EDGE('',*,*,#9771,.T.); +#12988=ORIENTED_EDGE('',*,*,#9772,.T.); +#12989=ORIENTED_EDGE('',*,*,#9773,.T.); +#12990=ORIENTED_EDGE('',*,*,#9768,.F.); +#12991=ORIENTED_EDGE('',*,*,#9774,.T.); +#12992=ORIENTED_EDGE('',*,*,#9775,.T.); +#12993=ORIENTED_EDGE('',*,*,#9776,.T.); +#12994=ORIENTED_EDGE('',*,*,#9772,.F.); +#12995=ORIENTED_EDGE('',*,*,#9777,.T.); +#12996=ORIENTED_EDGE('',*,*,#9770,.F.); +#12997=ORIENTED_EDGE('',*,*,#9778,.T.); +#12998=ORIENTED_EDGE('',*,*,#9775,.F.); +#12999=ORIENTED_EDGE('',*,*,#9777,.F.); +#13000=ORIENTED_EDGE('',*,*,#9774,.F.); +#13001=ORIENTED_EDGE('',*,*,#9771,.F.); +#13002=ORIENTED_EDGE('',*,*,#9767,.F.); +#13003=ORIENTED_EDGE('',*,*,#9769,.F.); +#13004=ORIENTED_EDGE('',*,*,#9773,.F.); +#13005=ORIENTED_EDGE('',*,*,#9776,.F.); +#13006=ORIENTED_EDGE('',*,*,#9778,.F.); +#13007=ORIENTED_EDGE('',*,*,#9779,.T.); +#13008=ORIENTED_EDGE('',*,*,#9780,.T.); +#13009=ORIENTED_EDGE('',*,*,#9781,.T.); +#13010=ORIENTED_EDGE('',*,*,#9782,.T.); +#13011=ORIENTED_EDGE('',*,*,#9783,.T.); +#13012=ORIENTED_EDGE('',*,*,#9784,.T.); +#13013=ORIENTED_EDGE('',*,*,#9785,.T.); +#13014=ORIENTED_EDGE('',*,*,#9780,.F.); +#13015=ORIENTED_EDGE('',*,*,#9786,.T.); +#13016=ORIENTED_EDGE('',*,*,#9787,.T.); +#13017=ORIENTED_EDGE('',*,*,#9788,.T.); +#13018=ORIENTED_EDGE('',*,*,#9784,.F.); +#13019=ORIENTED_EDGE('',*,*,#9789,.T.); +#13020=ORIENTED_EDGE('',*,*,#9782,.F.); +#13021=ORIENTED_EDGE('',*,*,#9790,.T.); +#13022=ORIENTED_EDGE('',*,*,#9787,.F.); +#13023=ORIENTED_EDGE('',*,*,#9789,.F.); +#13024=ORIENTED_EDGE('',*,*,#9786,.F.); +#13025=ORIENTED_EDGE('',*,*,#9783,.F.); +#13026=ORIENTED_EDGE('',*,*,#9779,.F.); +#13027=ORIENTED_EDGE('',*,*,#9781,.F.); +#13028=ORIENTED_EDGE('',*,*,#9785,.F.); +#13029=ORIENTED_EDGE('',*,*,#9788,.F.); +#13030=ORIENTED_EDGE('',*,*,#9790,.F.); +#13031=ORIENTED_EDGE('',*,*,#9791,.T.); +#13032=ORIENTED_EDGE('',*,*,#9792,.T.); +#13033=ORIENTED_EDGE('',*,*,#9793,.T.); +#13034=ORIENTED_EDGE('',*,*,#9794,.T.); +#13035=ORIENTED_EDGE('',*,*,#9795,.T.); +#13036=ORIENTED_EDGE('',*,*,#9796,.T.); +#13037=ORIENTED_EDGE('',*,*,#9797,.T.); +#13038=ORIENTED_EDGE('',*,*,#9792,.F.); +#13039=ORIENTED_EDGE('',*,*,#9798,.T.); +#13040=ORIENTED_EDGE('',*,*,#9799,.T.); +#13041=ORIENTED_EDGE('',*,*,#9800,.T.); +#13042=ORIENTED_EDGE('',*,*,#9796,.F.); +#13043=ORIENTED_EDGE('',*,*,#9801,.T.); +#13044=ORIENTED_EDGE('',*,*,#9794,.F.); +#13045=ORIENTED_EDGE('',*,*,#9802,.T.); +#13046=ORIENTED_EDGE('',*,*,#9799,.F.); +#13047=ORIENTED_EDGE('',*,*,#9801,.F.); +#13048=ORIENTED_EDGE('',*,*,#9798,.F.); +#13049=ORIENTED_EDGE('',*,*,#9795,.F.); +#13050=ORIENTED_EDGE('',*,*,#9791,.F.); +#13051=ORIENTED_EDGE('',*,*,#9793,.F.); +#13052=ORIENTED_EDGE('',*,*,#9797,.F.); +#13053=ORIENTED_EDGE('',*,*,#9800,.F.); +#13054=ORIENTED_EDGE('',*,*,#9802,.F.); +#13055=ORIENTED_EDGE('',*,*,#9803,.T.); +#13056=ORIENTED_EDGE('',*,*,#9804,.T.); +#13057=ORIENTED_EDGE('',*,*,#9805,.T.); +#13058=ORIENTED_EDGE('',*,*,#9806,.T.); +#13059=ORIENTED_EDGE('',*,*,#9807,.T.); +#13060=ORIENTED_EDGE('',*,*,#9808,.T.); +#13061=ORIENTED_EDGE('',*,*,#9809,.T.); +#13062=ORIENTED_EDGE('',*,*,#9804,.F.); +#13063=ORIENTED_EDGE('',*,*,#9810,.T.); +#13064=ORIENTED_EDGE('',*,*,#9811,.T.); +#13065=ORIENTED_EDGE('',*,*,#9812,.T.); +#13066=ORIENTED_EDGE('',*,*,#9808,.F.); +#13067=ORIENTED_EDGE('',*,*,#9813,.T.); +#13068=ORIENTED_EDGE('',*,*,#9806,.F.); +#13069=ORIENTED_EDGE('',*,*,#9814,.T.); +#13070=ORIENTED_EDGE('',*,*,#9811,.F.); +#13071=ORIENTED_EDGE('',*,*,#9813,.F.); +#13072=ORIENTED_EDGE('',*,*,#9810,.F.); +#13073=ORIENTED_EDGE('',*,*,#9807,.F.); +#13074=ORIENTED_EDGE('',*,*,#9803,.F.); +#13075=ORIENTED_EDGE('',*,*,#9805,.F.); +#13076=ORIENTED_EDGE('',*,*,#9809,.F.); +#13077=ORIENTED_EDGE('',*,*,#9812,.F.); +#13078=ORIENTED_EDGE('',*,*,#9814,.F.); +#13079=ORIENTED_EDGE('',*,*,#9815,.T.); +#13080=ORIENTED_EDGE('',*,*,#9816,.T.); +#13081=ORIENTED_EDGE('',*,*,#9817,.T.); +#13082=ORIENTED_EDGE('',*,*,#9818,.T.); +#13083=ORIENTED_EDGE('',*,*,#9819,.T.); +#13084=ORIENTED_EDGE('',*,*,#9820,.T.); +#13085=ORIENTED_EDGE('',*,*,#9821,.T.); +#13086=ORIENTED_EDGE('',*,*,#9816,.F.); +#13087=ORIENTED_EDGE('',*,*,#9822,.T.); +#13088=ORIENTED_EDGE('',*,*,#9823,.T.); +#13089=ORIENTED_EDGE('',*,*,#9824,.T.); +#13090=ORIENTED_EDGE('',*,*,#9820,.F.); +#13091=ORIENTED_EDGE('',*,*,#9825,.T.); +#13092=ORIENTED_EDGE('',*,*,#9818,.F.); +#13093=ORIENTED_EDGE('',*,*,#9826,.T.); +#13094=ORIENTED_EDGE('',*,*,#9823,.F.); +#13095=ORIENTED_EDGE('',*,*,#9825,.F.); +#13096=ORIENTED_EDGE('',*,*,#9822,.F.); +#13097=ORIENTED_EDGE('',*,*,#9819,.F.); +#13098=ORIENTED_EDGE('',*,*,#9815,.F.); +#13099=ORIENTED_EDGE('',*,*,#9817,.F.); +#13100=ORIENTED_EDGE('',*,*,#9821,.F.); +#13101=ORIENTED_EDGE('',*,*,#9824,.F.); +#13102=ORIENTED_EDGE('',*,*,#9826,.F.); +#13103=ORIENTED_EDGE('',*,*,#9827,.T.); +#13104=ORIENTED_EDGE('',*,*,#9828,.T.); +#13105=ORIENTED_EDGE('',*,*,#9829,.T.); +#13106=ORIENTED_EDGE('',*,*,#9830,.T.); +#13107=ORIENTED_EDGE('',*,*,#9831,.T.); +#13108=ORIENTED_EDGE('',*,*,#9832,.T.); +#13109=ORIENTED_EDGE('',*,*,#9833,.T.); +#13110=ORIENTED_EDGE('',*,*,#9828,.F.); +#13111=ORIENTED_EDGE('',*,*,#9834,.T.); +#13112=ORIENTED_EDGE('',*,*,#9835,.T.); +#13113=ORIENTED_EDGE('',*,*,#9836,.T.); +#13114=ORIENTED_EDGE('',*,*,#9832,.F.); +#13115=ORIENTED_EDGE('',*,*,#9837,.T.); +#13116=ORIENTED_EDGE('',*,*,#9830,.F.); +#13117=ORIENTED_EDGE('',*,*,#9838,.T.); +#13118=ORIENTED_EDGE('',*,*,#9835,.F.); +#13119=ORIENTED_EDGE('',*,*,#9837,.F.); +#13120=ORIENTED_EDGE('',*,*,#9834,.F.); +#13121=ORIENTED_EDGE('',*,*,#9831,.F.); +#13122=ORIENTED_EDGE('',*,*,#9827,.F.); +#13123=ORIENTED_EDGE('',*,*,#9829,.F.); +#13124=ORIENTED_EDGE('',*,*,#9833,.F.); +#13125=ORIENTED_EDGE('',*,*,#9836,.F.); +#13126=ORIENTED_EDGE('',*,*,#9838,.F.); +#13127=ORIENTED_EDGE('',*,*,#9839,.T.); +#13128=ORIENTED_EDGE('',*,*,#9840,.T.); +#13129=ORIENTED_EDGE('',*,*,#9841,.T.); +#13130=ORIENTED_EDGE('',*,*,#9842,.T.); +#13131=ORIENTED_EDGE('',*,*,#9843,.T.); +#13132=ORIENTED_EDGE('',*,*,#9844,.T.); +#13133=ORIENTED_EDGE('',*,*,#9845,.T.); +#13134=ORIENTED_EDGE('',*,*,#9840,.F.); +#13135=ORIENTED_EDGE('',*,*,#9846,.T.); +#13136=ORIENTED_EDGE('',*,*,#9847,.T.); +#13137=ORIENTED_EDGE('',*,*,#9848,.T.); +#13138=ORIENTED_EDGE('',*,*,#9844,.F.); +#13139=ORIENTED_EDGE('',*,*,#9849,.T.); +#13140=ORIENTED_EDGE('',*,*,#9842,.F.); +#13141=ORIENTED_EDGE('',*,*,#9850,.T.); +#13142=ORIENTED_EDGE('',*,*,#9847,.F.); +#13143=ORIENTED_EDGE('',*,*,#9849,.F.); +#13144=ORIENTED_EDGE('',*,*,#9846,.F.); +#13145=ORIENTED_EDGE('',*,*,#9843,.F.); +#13146=ORIENTED_EDGE('',*,*,#9839,.F.); +#13147=ORIENTED_EDGE('',*,*,#9841,.F.); +#13148=ORIENTED_EDGE('',*,*,#9845,.F.); +#13149=ORIENTED_EDGE('',*,*,#9848,.F.); +#13150=ORIENTED_EDGE('',*,*,#9850,.F.); +#13151=ORIENTED_EDGE('',*,*,#9851,.T.); +#13152=ORIENTED_EDGE('',*,*,#9852,.T.); +#13153=ORIENTED_EDGE('',*,*,#9853,.T.); +#13154=ORIENTED_EDGE('',*,*,#9854,.T.); +#13155=ORIENTED_EDGE('',*,*,#9855,.T.); +#13156=ORIENTED_EDGE('',*,*,#9856,.T.); +#13157=ORIENTED_EDGE('',*,*,#9857,.T.); +#13158=ORIENTED_EDGE('',*,*,#9852,.F.); +#13159=ORIENTED_EDGE('',*,*,#9858,.T.); +#13160=ORIENTED_EDGE('',*,*,#9859,.T.); +#13161=ORIENTED_EDGE('',*,*,#9860,.T.); +#13162=ORIENTED_EDGE('',*,*,#9856,.F.); +#13163=ORIENTED_EDGE('',*,*,#9861,.T.); +#13164=ORIENTED_EDGE('',*,*,#9854,.F.); +#13165=ORIENTED_EDGE('',*,*,#9862,.T.); +#13166=ORIENTED_EDGE('',*,*,#9859,.F.); +#13167=ORIENTED_EDGE('',*,*,#9861,.F.); +#13168=ORIENTED_EDGE('',*,*,#9858,.F.); +#13169=ORIENTED_EDGE('',*,*,#9855,.F.); +#13170=ORIENTED_EDGE('',*,*,#9851,.F.); +#13171=ORIENTED_EDGE('',*,*,#9853,.F.); +#13172=ORIENTED_EDGE('',*,*,#9857,.F.); +#13173=ORIENTED_EDGE('',*,*,#9860,.F.); +#13174=ORIENTED_EDGE('',*,*,#9862,.F.); +#13175=ORIENTED_EDGE('',*,*,#9863,.T.); +#13176=ORIENTED_EDGE('',*,*,#9864,.T.); +#13177=ORIENTED_EDGE('',*,*,#9865,.T.); +#13178=ORIENTED_EDGE('',*,*,#9866,.T.); +#13179=ORIENTED_EDGE('',*,*,#9867,.T.); +#13180=ORIENTED_EDGE('',*,*,#9868,.T.); +#13181=ORIENTED_EDGE('',*,*,#9869,.T.); +#13182=ORIENTED_EDGE('',*,*,#9864,.F.); +#13183=ORIENTED_EDGE('',*,*,#9870,.T.); +#13184=ORIENTED_EDGE('',*,*,#9871,.T.); +#13185=ORIENTED_EDGE('',*,*,#9872,.T.); +#13186=ORIENTED_EDGE('',*,*,#9868,.F.); +#13187=ORIENTED_EDGE('',*,*,#9873,.T.); +#13188=ORIENTED_EDGE('',*,*,#9866,.F.); +#13189=ORIENTED_EDGE('',*,*,#9874,.T.); +#13190=ORIENTED_EDGE('',*,*,#9871,.F.); +#13191=ORIENTED_EDGE('',*,*,#9873,.F.); +#13192=ORIENTED_EDGE('',*,*,#9870,.F.); +#13193=ORIENTED_EDGE('',*,*,#9867,.F.); +#13194=ORIENTED_EDGE('',*,*,#9863,.F.); +#13195=ORIENTED_EDGE('',*,*,#9865,.F.); +#13196=ORIENTED_EDGE('',*,*,#9869,.F.); +#13197=ORIENTED_EDGE('',*,*,#9872,.F.); +#13198=ORIENTED_EDGE('',*,*,#9874,.F.); +#13199=ORIENTED_EDGE('',*,*,#9875,.T.); +#13200=ORIENTED_EDGE('',*,*,#9876,.T.); +#13201=ORIENTED_EDGE('',*,*,#9877,.T.); +#13202=ORIENTED_EDGE('',*,*,#9878,.T.); +#13203=ORIENTED_EDGE('',*,*,#9879,.T.); +#13204=ORIENTED_EDGE('',*,*,#9880,.T.); +#13205=ORIENTED_EDGE('',*,*,#9881,.T.); +#13206=ORIENTED_EDGE('',*,*,#9876,.F.); +#13207=ORIENTED_EDGE('',*,*,#9882,.T.); +#13208=ORIENTED_EDGE('',*,*,#9883,.T.); +#13209=ORIENTED_EDGE('',*,*,#9884,.T.); +#13210=ORIENTED_EDGE('',*,*,#9880,.F.); +#13211=ORIENTED_EDGE('',*,*,#9885,.T.); +#13212=ORIENTED_EDGE('',*,*,#9878,.F.); +#13213=ORIENTED_EDGE('',*,*,#9886,.T.); +#13214=ORIENTED_EDGE('',*,*,#9883,.F.); +#13215=ORIENTED_EDGE('',*,*,#9885,.F.); +#13216=ORIENTED_EDGE('',*,*,#9882,.F.); +#13217=ORIENTED_EDGE('',*,*,#9879,.F.); +#13218=ORIENTED_EDGE('',*,*,#9875,.F.); +#13219=ORIENTED_EDGE('',*,*,#9877,.F.); +#13220=ORIENTED_EDGE('',*,*,#9881,.F.); +#13221=ORIENTED_EDGE('',*,*,#9884,.F.); +#13222=ORIENTED_EDGE('',*,*,#9886,.F.); +#13223=ORIENTED_EDGE('',*,*,#9887,.T.); +#13224=ORIENTED_EDGE('',*,*,#9888,.T.); +#13225=ORIENTED_EDGE('',*,*,#9889,.T.); +#13226=ORIENTED_EDGE('',*,*,#9890,.T.); +#13227=ORIENTED_EDGE('',*,*,#9891,.T.); +#13228=ORIENTED_EDGE('',*,*,#9892,.T.); +#13229=ORIENTED_EDGE('',*,*,#9893,.T.); +#13230=ORIENTED_EDGE('',*,*,#9888,.F.); +#13231=ORIENTED_EDGE('',*,*,#9894,.T.); +#13232=ORIENTED_EDGE('',*,*,#9895,.T.); +#13233=ORIENTED_EDGE('',*,*,#9896,.T.); +#13234=ORIENTED_EDGE('',*,*,#9892,.F.); +#13235=ORIENTED_EDGE('',*,*,#9897,.T.); +#13236=ORIENTED_EDGE('',*,*,#9890,.F.); +#13237=ORIENTED_EDGE('',*,*,#9898,.T.); +#13238=ORIENTED_EDGE('',*,*,#9895,.F.); +#13239=ORIENTED_EDGE('',*,*,#9897,.F.); +#13240=ORIENTED_EDGE('',*,*,#9894,.F.); +#13241=ORIENTED_EDGE('',*,*,#9891,.F.); +#13242=ORIENTED_EDGE('',*,*,#9887,.F.); +#13243=ORIENTED_EDGE('',*,*,#9889,.F.); +#13244=ORIENTED_EDGE('',*,*,#9893,.F.); +#13245=ORIENTED_EDGE('',*,*,#9896,.F.); +#13246=ORIENTED_EDGE('',*,*,#9898,.F.); +#13247=ORIENTED_EDGE('',*,*,#9899,.T.); +#13248=ORIENTED_EDGE('',*,*,#9900,.T.); +#13249=ORIENTED_EDGE('',*,*,#9901,.T.); +#13250=ORIENTED_EDGE('',*,*,#9902,.T.); +#13251=ORIENTED_EDGE('',*,*,#9903,.T.); +#13252=ORIENTED_EDGE('',*,*,#9904,.T.); +#13253=ORIENTED_EDGE('',*,*,#9905,.T.); +#13254=ORIENTED_EDGE('',*,*,#9900,.F.); +#13255=ORIENTED_EDGE('',*,*,#9906,.T.); +#13256=ORIENTED_EDGE('',*,*,#9907,.T.); +#13257=ORIENTED_EDGE('',*,*,#9908,.T.); +#13258=ORIENTED_EDGE('',*,*,#9904,.F.); +#13259=ORIENTED_EDGE('',*,*,#9909,.T.); +#13260=ORIENTED_EDGE('',*,*,#9902,.F.); +#13261=ORIENTED_EDGE('',*,*,#9910,.T.); +#13262=ORIENTED_EDGE('',*,*,#9907,.F.); +#13263=ORIENTED_EDGE('',*,*,#9909,.F.); +#13264=ORIENTED_EDGE('',*,*,#9906,.F.); +#13265=ORIENTED_EDGE('',*,*,#9903,.F.); +#13266=ORIENTED_EDGE('',*,*,#9899,.F.); +#13267=ORIENTED_EDGE('',*,*,#9901,.F.); +#13268=ORIENTED_EDGE('',*,*,#9905,.F.); +#13269=ORIENTED_EDGE('',*,*,#9908,.F.); +#13270=ORIENTED_EDGE('',*,*,#9910,.F.); +#13271=ORIENTED_EDGE('',*,*,#9911,.T.); +#13272=ORIENTED_EDGE('',*,*,#9912,.T.); +#13273=ORIENTED_EDGE('',*,*,#9913,.T.); +#13274=ORIENTED_EDGE('',*,*,#9914,.T.); +#13275=ORIENTED_EDGE('',*,*,#9915,.T.); +#13276=ORIENTED_EDGE('',*,*,#9916,.T.); +#13277=ORIENTED_EDGE('',*,*,#9917,.T.); +#13278=ORIENTED_EDGE('',*,*,#9912,.F.); +#13279=ORIENTED_EDGE('',*,*,#9918,.T.); +#13280=ORIENTED_EDGE('',*,*,#9919,.T.); +#13281=ORIENTED_EDGE('',*,*,#9920,.T.); +#13282=ORIENTED_EDGE('',*,*,#9916,.F.); +#13283=ORIENTED_EDGE('',*,*,#9921,.T.); +#13284=ORIENTED_EDGE('',*,*,#9914,.F.); +#13285=ORIENTED_EDGE('',*,*,#9922,.T.); +#13286=ORIENTED_EDGE('',*,*,#9919,.F.); +#13287=ORIENTED_EDGE('',*,*,#9921,.F.); +#13288=ORIENTED_EDGE('',*,*,#9918,.F.); +#13289=ORIENTED_EDGE('',*,*,#9915,.F.); +#13290=ORIENTED_EDGE('',*,*,#9911,.F.); +#13291=ORIENTED_EDGE('',*,*,#9913,.F.); +#13292=ORIENTED_EDGE('',*,*,#9917,.F.); +#13293=ORIENTED_EDGE('',*,*,#9920,.F.); +#13294=ORIENTED_EDGE('',*,*,#9922,.F.); +#13295=ORIENTED_EDGE('',*,*,#9923,.T.); +#13296=ORIENTED_EDGE('',*,*,#9924,.T.); +#13297=ORIENTED_EDGE('',*,*,#9925,.T.); +#13298=ORIENTED_EDGE('',*,*,#9926,.T.); +#13299=ORIENTED_EDGE('',*,*,#9927,.T.); +#13300=ORIENTED_EDGE('',*,*,#9928,.T.); +#13301=ORIENTED_EDGE('',*,*,#9929,.T.); +#13302=ORIENTED_EDGE('',*,*,#9924,.F.); +#13303=ORIENTED_EDGE('',*,*,#9930,.T.); +#13304=ORIENTED_EDGE('',*,*,#9931,.T.); +#13305=ORIENTED_EDGE('',*,*,#9932,.T.); +#13306=ORIENTED_EDGE('',*,*,#9928,.F.); +#13307=ORIENTED_EDGE('',*,*,#9933,.T.); +#13308=ORIENTED_EDGE('',*,*,#9926,.F.); +#13309=ORIENTED_EDGE('',*,*,#9934,.T.); +#13310=ORIENTED_EDGE('',*,*,#9931,.F.); +#13311=ORIENTED_EDGE('',*,*,#9933,.F.); +#13312=ORIENTED_EDGE('',*,*,#9930,.F.); +#13313=ORIENTED_EDGE('',*,*,#9927,.F.); +#13314=ORIENTED_EDGE('',*,*,#9923,.F.); +#13315=ORIENTED_EDGE('',*,*,#9925,.F.); +#13316=ORIENTED_EDGE('',*,*,#9929,.F.); +#13317=ORIENTED_EDGE('',*,*,#9932,.F.); +#13318=ORIENTED_EDGE('',*,*,#9934,.F.); +#13319=ORIENTED_EDGE('',*,*,#9935,.T.); +#13320=ORIENTED_EDGE('',*,*,#9936,.T.); +#13321=ORIENTED_EDGE('',*,*,#9937,.T.); +#13322=ORIENTED_EDGE('',*,*,#9938,.T.); +#13323=ORIENTED_EDGE('',*,*,#9939,.T.); +#13324=ORIENTED_EDGE('',*,*,#9940,.T.); +#13325=ORIENTED_EDGE('',*,*,#9941,.T.); +#13326=ORIENTED_EDGE('',*,*,#9936,.F.); +#13327=ORIENTED_EDGE('',*,*,#9942,.T.); +#13328=ORIENTED_EDGE('',*,*,#9943,.T.); +#13329=ORIENTED_EDGE('',*,*,#9944,.T.); +#13330=ORIENTED_EDGE('',*,*,#9940,.F.); +#13331=ORIENTED_EDGE('',*,*,#9945,.T.); +#13332=ORIENTED_EDGE('',*,*,#9938,.F.); +#13333=ORIENTED_EDGE('',*,*,#9946,.T.); +#13334=ORIENTED_EDGE('',*,*,#9943,.F.); +#13335=ORIENTED_EDGE('',*,*,#9945,.F.); +#13336=ORIENTED_EDGE('',*,*,#9942,.F.); +#13337=ORIENTED_EDGE('',*,*,#9939,.F.); +#13338=ORIENTED_EDGE('',*,*,#9935,.F.); +#13339=ORIENTED_EDGE('',*,*,#9937,.F.); +#13340=ORIENTED_EDGE('',*,*,#9941,.F.); +#13341=ORIENTED_EDGE('',*,*,#9944,.F.); +#13342=ORIENTED_EDGE('',*,*,#9946,.F.); +#13343=ORIENTED_EDGE('',*,*,#9947,.T.); +#13344=ORIENTED_EDGE('',*,*,#9948,.T.); +#13345=ORIENTED_EDGE('',*,*,#9949,.T.); +#13346=ORIENTED_EDGE('',*,*,#9950,.T.); +#13347=ORIENTED_EDGE('',*,*,#9951,.T.); +#13348=ORIENTED_EDGE('',*,*,#9952,.T.); +#13349=ORIENTED_EDGE('',*,*,#9953,.T.); +#13350=ORIENTED_EDGE('',*,*,#9948,.F.); +#13351=ORIENTED_EDGE('',*,*,#9954,.T.); +#13352=ORIENTED_EDGE('',*,*,#9955,.T.); +#13353=ORIENTED_EDGE('',*,*,#9956,.T.); +#13354=ORIENTED_EDGE('',*,*,#9952,.F.); +#13355=ORIENTED_EDGE('',*,*,#9957,.T.); +#13356=ORIENTED_EDGE('',*,*,#9950,.F.); +#13357=ORIENTED_EDGE('',*,*,#9958,.T.); +#13358=ORIENTED_EDGE('',*,*,#9955,.F.); +#13359=ORIENTED_EDGE('',*,*,#9957,.F.); +#13360=ORIENTED_EDGE('',*,*,#9954,.F.); +#13361=ORIENTED_EDGE('',*,*,#9951,.F.); +#13362=ORIENTED_EDGE('',*,*,#9947,.F.); +#13363=ORIENTED_EDGE('',*,*,#9949,.F.); +#13364=ORIENTED_EDGE('',*,*,#9953,.F.); +#13365=ORIENTED_EDGE('',*,*,#9956,.F.); +#13366=ORIENTED_EDGE('',*,*,#9958,.F.); +#13367=ORIENTED_EDGE('',*,*,#9959,.T.); +#13368=ORIENTED_EDGE('',*,*,#9960,.T.); +#13369=ORIENTED_EDGE('',*,*,#9961,.T.); +#13370=ORIENTED_EDGE('',*,*,#9962,.T.); +#13371=ORIENTED_EDGE('',*,*,#9963,.T.); +#13372=ORIENTED_EDGE('',*,*,#9964,.T.); +#13373=ORIENTED_EDGE('',*,*,#9965,.T.); +#13374=ORIENTED_EDGE('',*,*,#9960,.F.); +#13375=ORIENTED_EDGE('',*,*,#9966,.T.); +#13376=ORIENTED_EDGE('',*,*,#9967,.T.); +#13377=ORIENTED_EDGE('',*,*,#9968,.T.); +#13378=ORIENTED_EDGE('',*,*,#9964,.F.); +#13379=ORIENTED_EDGE('',*,*,#9969,.T.); +#13380=ORIENTED_EDGE('',*,*,#9962,.F.); +#13381=ORIENTED_EDGE('',*,*,#9970,.T.); +#13382=ORIENTED_EDGE('',*,*,#9967,.F.); +#13383=ORIENTED_EDGE('',*,*,#9969,.F.); +#13384=ORIENTED_EDGE('',*,*,#9966,.F.); +#13385=ORIENTED_EDGE('',*,*,#9963,.F.); +#13386=ORIENTED_EDGE('',*,*,#9959,.F.); +#13387=ORIENTED_EDGE('',*,*,#9961,.F.); +#13388=ORIENTED_EDGE('',*,*,#9965,.F.); +#13389=ORIENTED_EDGE('',*,*,#9968,.F.); +#13390=ORIENTED_EDGE('',*,*,#9970,.F.); +#13391=ORIENTED_EDGE('',*,*,#9971,.T.); +#13392=ORIENTED_EDGE('',*,*,#9972,.T.); +#13393=ORIENTED_EDGE('',*,*,#9973,.T.); +#13394=ORIENTED_EDGE('',*,*,#9974,.T.); +#13395=ORIENTED_EDGE('',*,*,#9975,.T.); +#13396=ORIENTED_EDGE('',*,*,#9976,.T.); +#13397=ORIENTED_EDGE('',*,*,#9977,.T.); +#13398=ORIENTED_EDGE('',*,*,#9972,.F.); +#13399=ORIENTED_EDGE('',*,*,#9978,.T.); +#13400=ORIENTED_EDGE('',*,*,#9979,.T.); +#13401=ORIENTED_EDGE('',*,*,#9980,.T.); +#13402=ORIENTED_EDGE('',*,*,#9976,.F.); +#13403=ORIENTED_EDGE('',*,*,#9981,.T.); +#13404=ORIENTED_EDGE('',*,*,#9974,.F.); +#13405=ORIENTED_EDGE('',*,*,#9982,.T.); +#13406=ORIENTED_EDGE('',*,*,#9979,.F.); +#13407=ORIENTED_EDGE('',*,*,#9981,.F.); +#13408=ORIENTED_EDGE('',*,*,#9978,.F.); +#13409=ORIENTED_EDGE('',*,*,#9975,.F.); +#13410=ORIENTED_EDGE('',*,*,#9971,.F.); +#13411=ORIENTED_EDGE('',*,*,#9973,.F.); +#13412=ORIENTED_EDGE('',*,*,#9977,.F.); +#13413=ORIENTED_EDGE('',*,*,#9980,.F.); +#13414=ORIENTED_EDGE('',*,*,#9982,.F.); +#13415=ORIENTED_EDGE('',*,*,#9983,.T.); +#13416=ORIENTED_EDGE('',*,*,#9984,.T.); +#13417=ORIENTED_EDGE('',*,*,#9985,.T.); +#13418=ORIENTED_EDGE('',*,*,#9986,.T.); +#13419=ORIENTED_EDGE('',*,*,#9987,.T.); +#13420=ORIENTED_EDGE('',*,*,#9988,.T.); +#13421=ORIENTED_EDGE('',*,*,#9989,.T.); +#13422=ORIENTED_EDGE('',*,*,#9984,.F.); +#13423=ORIENTED_EDGE('',*,*,#9990,.T.); +#13424=ORIENTED_EDGE('',*,*,#9991,.T.); +#13425=ORIENTED_EDGE('',*,*,#9992,.T.); +#13426=ORIENTED_EDGE('',*,*,#9988,.F.); +#13427=ORIENTED_EDGE('',*,*,#9993,.T.); +#13428=ORIENTED_EDGE('',*,*,#9986,.F.); +#13429=ORIENTED_EDGE('',*,*,#9994,.T.); +#13430=ORIENTED_EDGE('',*,*,#9991,.F.); +#13431=ORIENTED_EDGE('',*,*,#9993,.F.); +#13432=ORIENTED_EDGE('',*,*,#9990,.F.); +#13433=ORIENTED_EDGE('',*,*,#9987,.F.); +#13434=ORIENTED_EDGE('',*,*,#9983,.F.); +#13435=ORIENTED_EDGE('',*,*,#9985,.F.); +#13436=ORIENTED_EDGE('',*,*,#9989,.F.); +#13437=ORIENTED_EDGE('',*,*,#9992,.F.); +#13438=ORIENTED_EDGE('',*,*,#9994,.F.); +#13439=ORIENTED_EDGE('',*,*,#9995,.T.); +#13440=ORIENTED_EDGE('',*,*,#9996,.T.); +#13441=ORIENTED_EDGE('',*,*,#9997,.T.); +#13442=ORIENTED_EDGE('',*,*,#9998,.T.); +#13443=ORIENTED_EDGE('',*,*,#9999,.T.); +#13444=ORIENTED_EDGE('',*,*,#10000,.T.); +#13445=ORIENTED_EDGE('',*,*,#10001,.T.); +#13446=ORIENTED_EDGE('',*,*,#9996,.F.); +#13447=ORIENTED_EDGE('',*,*,#10002,.T.); +#13448=ORIENTED_EDGE('',*,*,#10003,.T.); +#13449=ORIENTED_EDGE('',*,*,#10004,.T.); +#13450=ORIENTED_EDGE('',*,*,#10000,.F.); +#13451=ORIENTED_EDGE('',*,*,#10005,.T.); +#13452=ORIENTED_EDGE('',*,*,#9998,.F.); +#13453=ORIENTED_EDGE('',*,*,#10006,.T.); +#13454=ORIENTED_EDGE('',*,*,#10003,.F.); +#13455=ORIENTED_EDGE('',*,*,#10005,.F.); +#13456=ORIENTED_EDGE('',*,*,#10002,.F.); +#13457=ORIENTED_EDGE('',*,*,#9999,.F.); +#13458=ORIENTED_EDGE('',*,*,#9995,.F.); +#13459=ORIENTED_EDGE('',*,*,#9997,.F.); +#13460=ORIENTED_EDGE('',*,*,#10001,.F.); +#13461=ORIENTED_EDGE('',*,*,#10004,.F.); +#13462=ORIENTED_EDGE('',*,*,#10006,.F.); +#13463=ORIENTED_EDGE('',*,*,#10007,.T.); +#13464=ORIENTED_EDGE('',*,*,#10008,.T.); +#13465=ORIENTED_EDGE('',*,*,#10009,.T.); +#13466=ORIENTED_EDGE('',*,*,#10010,.T.); +#13467=ORIENTED_EDGE('',*,*,#10011,.T.); +#13468=ORIENTED_EDGE('',*,*,#10012,.T.); +#13469=ORIENTED_EDGE('',*,*,#10013,.T.); +#13470=ORIENTED_EDGE('',*,*,#10008,.F.); +#13471=ORIENTED_EDGE('',*,*,#10014,.T.); +#13472=ORIENTED_EDGE('',*,*,#10015,.T.); +#13473=ORIENTED_EDGE('',*,*,#10016,.T.); +#13474=ORIENTED_EDGE('',*,*,#10012,.F.); +#13475=ORIENTED_EDGE('',*,*,#10017,.T.); +#13476=ORIENTED_EDGE('',*,*,#10010,.F.); +#13477=ORIENTED_EDGE('',*,*,#10018,.T.); +#13478=ORIENTED_EDGE('',*,*,#10015,.F.); +#13479=ORIENTED_EDGE('',*,*,#10017,.F.); +#13480=ORIENTED_EDGE('',*,*,#10014,.F.); +#13481=ORIENTED_EDGE('',*,*,#10011,.F.); +#13482=ORIENTED_EDGE('',*,*,#10007,.F.); +#13483=ORIENTED_EDGE('',*,*,#10009,.F.); +#13484=ORIENTED_EDGE('',*,*,#10013,.F.); +#13485=ORIENTED_EDGE('',*,*,#10016,.F.); +#13486=ORIENTED_EDGE('',*,*,#10018,.F.); +#13487=ORIENTED_EDGE('',*,*,#10019,.T.); +#13488=ORIENTED_EDGE('',*,*,#10020,.T.); +#13489=ORIENTED_EDGE('',*,*,#10021,.T.); +#13490=ORIENTED_EDGE('',*,*,#10022,.T.); +#13491=ORIENTED_EDGE('',*,*,#10023,.T.); +#13492=ORIENTED_EDGE('',*,*,#10024,.T.); +#13493=ORIENTED_EDGE('',*,*,#10025,.T.); +#13494=ORIENTED_EDGE('',*,*,#10020,.F.); +#13495=ORIENTED_EDGE('',*,*,#10026,.T.); +#13496=ORIENTED_EDGE('',*,*,#10027,.T.); +#13497=ORIENTED_EDGE('',*,*,#10028,.T.); +#13498=ORIENTED_EDGE('',*,*,#10024,.F.); +#13499=ORIENTED_EDGE('',*,*,#10029,.T.); +#13500=ORIENTED_EDGE('',*,*,#10022,.F.); +#13501=ORIENTED_EDGE('',*,*,#10030,.T.); +#13502=ORIENTED_EDGE('',*,*,#10027,.F.); +#13503=ORIENTED_EDGE('',*,*,#10029,.F.); +#13504=ORIENTED_EDGE('',*,*,#10026,.F.); +#13505=ORIENTED_EDGE('',*,*,#10023,.F.); +#13506=ORIENTED_EDGE('',*,*,#10019,.F.); +#13507=ORIENTED_EDGE('',*,*,#10021,.F.); +#13508=ORIENTED_EDGE('',*,*,#10025,.F.); +#13509=ORIENTED_EDGE('',*,*,#10028,.F.); +#13510=ORIENTED_EDGE('',*,*,#10030,.F.); +#13511=ORIENTED_EDGE('',*,*,#10031,.T.); +#13512=ORIENTED_EDGE('',*,*,#10032,.T.); +#13513=ORIENTED_EDGE('',*,*,#10033,.T.); +#13514=ORIENTED_EDGE('',*,*,#10034,.T.); +#13515=ORIENTED_EDGE('',*,*,#10035,.T.); +#13516=ORIENTED_EDGE('',*,*,#10036,.T.); +#13517=ORIENTED_EDGE('',*,*,#10037,.T.); +#13518=ORIENTED_EDGE('',*,*,#10032,.F.); +#13519=ORIENTED_EDGE('',*,*,#10038,.T.); +#13520=ORIENTED_EDGE('',*,*,#10039,.T.); +#13521=ORIENTED_EDGE('',*,*,#10040,.T.); +#13522=ORIENTED_EDGE('',*,*,#10036,.F.); +#13523=ORIENTED_EDGE('',*,*,#10041,.T.); +#13524=ORIENTED_EDGE('',*,*,#10034,.F.); +#13525=ORIENTED_EDGE('',*,*,#10042,.T.); +#13526=ORIENTED_EDGE('',*,*,#10039,.F.); +#13527=ORIENTED_EDGE('',*,*,#10041,.F.); +#13528=ORIENTED_EDGE('',*,*,#10038,.F.); +#13529=ORIENTED_EDGE('',*,*,#10035,.F.); +#13530=ORIENTED_EDGE('',*,*,#10031,.F.); +#13531=ORIENTED_EDGE('',*,*,#10033,.F.); +#13532=ORIENTED_EDGE('',*,*,#10037,.F.); +#13533=ORIENTED_EDGE('',*,*,#10040,.F.); +#13534=ORIENTED_EDGE('',*,*,#10042,.F.); +#13535=ORIENTED_EDGE('',*,*,#10043,.T.); +#13536=ORIENTED_EDGE('',*,*,#10044,.T.); +#13537=ORIENTED_EDGE('',*,*,#10045,.T.); +#13538=ORIENTED_EDGE('',*,*,#10046,.T.); +#13539=ORIENTED_EDGE('',*,*,#10047,.T.); +#13540=ORIENTED_EDGE('',*,*,#10048,.T.); +#13541=ORIENTED_EDGE('',*,*,#10049,.T.); +#13542=ORIENTED_EDGE('',*,*,#10044,.F.); +#13543=ORIENTED_EDGE('',*,*,#10050,.T.); +#13544=ORIENTED_EDGE('',*,*,#10051,.T.); +#13545=ORIENTED_EDGE('',*,*,#10052,.T.); +#13546=ORIENTED_EDGE('',*,*,#10048,.F.); +#13547=ORIENTED_EDGE('',*,*,#10053,.T.); +#13548=ORIENTED_EDGE('',*,*,#10046,.F.); +#13549=ORIENTED_EDGE('',*,*,#10054,.T.); +#13550=ORIENTED_EDGE('',*,*,#10051,.F.); +#13551=ORIENTED_EDGE('',*,*,#10053,.F.); +#13552=ORIENTED_EDGE('',*,*,#10050,.F.); +#13553=ORIENTED_EDGE('',*,*,#10047,.F.); +#13554=ORIENTED_EDGE('',*,*,#10043,.F.); +#13555=ORIENTED_EDGE('',*,*,#10045,.F.); +#13556=ORIENTED_EDGE('',*,*,#10049,.F.); +#13557=ORIENTED_EDGE('',*,*,#10052,.F.); +#13558=ORIENTED_EDGE('',*,*,#10054,.F.); +#13559=ORIENTED_EDGE('',*,*,#10055,.T.); +#13560=ORIENTED_EDGE('',*,*,#10056,.T.); +#13561=ORIENTED_EDGE('',*,*,#10057,.T.); +#13562=ORIENTED_EDGE('',*,*,#10058,.T.); +#13563=ORIENTED_EDGE('',*,*,#10059,.T.); +#13564=ORIENTED_EDGE('',*,*,#10060,.T.); +#13565=ORIENTED_EDGE('',*,*,#10061,.T.); +#13566=ORIENTED_EDGE('',*,*,#10056,.F.); +#13567=ORIENTED_EDGE('',*,*,#10062,.T.); +#13568=ORIENTED_EDGE('',*,*,#10063,.T.); +#13569=ORIENTED_EDGE('',*,*,#10064,.T.); +#13570=ORIENTED_EDGE('',*,*,#10060,.F.); +#13571=ORIENTED_EDGE('',*,*,#10065,.T.); +#13572=ORIENTED_EDGE('',*,*,#10058,.F.); +#13573=ORIENTED_EDGE('',*,*,#10066,.T.); +#13574=ORIENTED_EDGE('',*,*,#10063,.F.); +#13575=ORIENTED_EDGE('',*,*,#10065,.F.); +#13576=ORIENTED_EDGE('',*,*,#10062,.F.); +#13577=ORIENTED_EDGE('',*,*,#10059,.F.); +#13578=ORIENTED_EDGE('',*,*,#10055,.F.); +#13579=ORIENTED_EDGE('',*,*,#10057,.F.); +#13580=ORIENTED_EDGE('',*,*,#10061,.F.); +#13581=ORIENTED_EDGE('',*,*,#10064,.F.); +#13582=ORIENTED_EDGE('',*,*,#10066,.F.); +#13583=ORIENTED_EDGE('',*,*,#10067,.T.); +#13584=ORIENTED_EDGE('',*,*,#10068,.T.); +#13585=ORIENTED_EDGE('',*,*,#10069,.T.); +#13586=ORIENTED_EDGE('',*,*,#10070,.T.); +#13587=ORIENTED_EDGE('',*,*,#10071,.T.); +#13588=ORIENTED_EDGE('',*,*,#10072,.T.); +#13589=ORIENTED_EDGE('',*,*,#10073,.T.); +#13590=ORIENTED_EDGE('',*,*,#10068,.F.); +#13591=ORIENTED_EDGE('',*,*,#10074,.T.); +#13592=ORIENTED_EDGE('',*,*,#10075,.T.); +#13593=ORIENTED_EDGE('',*,*,#10076,.T.); +#13594=ORIENTED_EDGE('',*,*,#10072,.F.); +#13595=ORIENTED_EDGE('',*,*,#10077,.T.); +#13596=ORIENTED_EDGE('',*,*,#10070,.F.); +#13597=ORIENTED_EDGE('',*,*,#10078,.T.); +#13598=ORIENTED_EDGE('',*,*,#10075,.F.); +#13599=ORIENTED_EDGE('',*,*,#10077,.F.); +#13600=ORIENTED_EDGE('',*,*,#10074,.F.); +#13601=ORIENTED_EDGE('',*,*,#10071,.F.); +#13602=ORIENTED_EDGE('',*,*,#10067,.F.); +#13603=ORIENTED_EDGE('',*,*,#10069,.F.); +#13604=ORIENTED_EDGE('',*,*,#10073,.F.); +#13605=ORIENTED_EDGE('',*,*,#10076,.F.); +#13606=ORIENTED_EDGE('',*,*,#10078,.F.); +#13607=ORIENTED_EDGE('',*,*,#10079,.T.); +#13608=ORIENTED_EDGE('',*,*,#10080,.T.); +#13609=ORIENTED_EDGE('',*,*,#10081,.T.); +#13610=ORIENTED_EDGE('',*,*,#10082,.T.); +#13611=ORIENTED_EDGE('',*,*,#10083,.T.); +#13612=ORIENTED_EDGE('',*,*,#10084,.T.); +#13613=ORIENTED_EDGE('',*,*,#10085,.T.); +#13614=ORIENTED_EDGE('',*,*,#10080,.F.); +#13615=ORIENTED_EDGE('',*,*,#10086,.T.); +#13616=ORIENTED_EDGE('',*,*,#10087,.T.); +#13617=ORIENTED_EDGE('',*,*,#10088,.T.); +#13618=ORIENTED_EDGE('',*,*,#10084,.F.); +#13619=ORIENTED_EDGE('',*,*,#10089,.T.); +#13620=ORIENTED_EDGE('',*,*,#10082,.F.); +#13621=ORIENTED_EDGE('',*,*,#10090,.T.); +#13622=ORIENTED_EDGE('',*,*,#10087,.F.); +#13623=ORIENTED_EDGE('',*,*,#10089,.F.); +#13624=ORIENTED_EDGE('',*,*,#10086,.F.); +#13625=ORIENTED_EDGE('',*,*,#10083,.F.); +#13626=ORIENTED_EDGE('',*,*,#10079,.F.); +#13627=ORIENTED_EDGE('',*,*,#10081,.F.); +#13628=ORIENTED_EDGE('',*,*,#10085,.F.); +#13629=ORIENTED_EDGE('',*,*,#10088,.F.); +#13630=ORIENTED_EDGE('',*,*,#10090,.F.); +#13631=ORIENTED_EDGE('',*,*,#10091,.T.); +#13632=ORIENTED_EDGE('',*,*,#10092,.T.); +#13633=ORIENTED_EDGE('',*,*,#10093,.T.); +#13634=ORIENTED_EDGE('',*,*,#10094,.T.); +#13635=ORIENTED_EDGE('',*,*,#10095,.T.); +#13636=ORIENTED_EDGE('',*,*,#10096,.T.); +#13637=ORIENTED_EDGE('',*,*,#10097,.T.); +#13638=ORIENTED_EDGE('',*,*,#10092,.F.); +#13639=ORIENTED_EDGE('',*,*,#10098,.T.); +#13640=ORIENTED_EDGE('',*,*,#10099,.T.); +#13641=ORIENTED_EDGE('',*,*,#10100,.T.); +#13642=ORIENTED_EDGE('',*,*,#10096,.F.); +#13643=ORIENTED_EDGE('',*,*,#10101,.T.); +#13644=ORIENTED_EDGE('',*,*,#10094,.F.); +#13645=ORIENTED_EDGE('',*,*,#10102,.T.); +#13646=ORIENTED_EDGE('',*,*,#10099,.F.); +#13647=ORIENTED_EDGE('',*,*,#10101,.F.); +#13648=ORIENTED_EDGE('',*,*,#10098,.F.); +#13649=ORIENTED_EDGE('',*,*,#10095,.F.); +#13650=ORIENTED_EDGE('',*,*,#10091,.F.); +#13651=ORIENTED_EDGE('',*,*,#10093,.F.); +#13652=ORIENTED_EDGE('',*,*,#10097,.F.); +#13653=ORIENTED_EDGE('',*,*,#10100,.F.); +#13654=ORIENTED_EDGE('',*,*,#10102,.F.); +#13655=ORIENTED_EDGE('',*,*,#10103,.T.); +#13656=ORIENTED_EDGE('',*,*,#10104,.T.); +#13657=ORIENTED_EDGE('',*,*,#10105,.T.); +#13658=ORIENTED_EDGE('',*,*,#10106,.T.); +#13659=ORIENTED_EDGE('',*,*,#10107,.T.); +#13660=ORIENTED_EDGE('',*,*,#10108,.T.); +#13661=ORIENTED_EDGE('',*,*,#10109,.T.); +#13662=ORIENTED_EDGE('',*,*,#10104,.F.); +#13663=ORIENTED_EDGE('',*,*,#10110,.T.); +#13664=ORIENTED_EDGE('',*,*,#10111,.T.); +#13665=ORIENTED_EDGE('',*,*,#10112,.T.); +#13666=ORIENTED_EDGE('',*,*,#10108,.F.); +#13667=ORIENTED_EDGE('',*,*,#10113,.T.); +#13668=ORIENTED_EDGE('',*,*,#10106,.F.); +#13669=ORIENTED_EDGE('',*,*,#10114,.T.); +#13670=ORIENTED_EDGE('',*,*,#10111,.F.); +#13671=ORIENTED_EDGE('',*,*,#10113,.F.); +#13672=ORIENTED_EDGE('',*,*,#10110,.F.); +#13673=ORIENTED_EDGE('',*,*,#10107,.F.); +#13674=ORIENTED_EDGE('',*,*,#10103,.F.); +#13675=ORIENTED_EDGE('',*,*,#10105,.F.); +#13676=ORIENTED_EDGE('',*,*,#10109,.F.); +#13677=ORIENTED_EDGE('',*,*,#10112,.F.); +#13678=ORIENTED_EDGE('',*,*,#10114,.F.); +#13679=ORIENTED_EDGE('',*,*,#10115,.T.); +#13680=ORIENTED_EDGE('',*,*,#10116,.T.); +#13681=ORIENTED_EDGE('',*,*,#10117,.T.); +#13682=ORIENTED_EDGE('',*,*,#10118,.T.); +#13683=ORIENTED_EDGE('',*,*,#10119,.T.); +#13684=ORIENTED_EDGE('',*,*,#10120,.T.); +#13685=ORIENTED_EDGE('',*,*,#10121,.T.); +#13686=ORIENTED_EDGE('',*,*,#10116,.F.); +#13687=ORIENTED_EDGE('',*,*,#10122,.T.); +#13688=ORIENTED_EDGE('',*,*,#10123,.T.); +#13689=ORIENTED_EDGE('',*,*,#10124,.T.); +#13690=ORIENTED_EDGE('',*,*,#10120,.F.); +#13691=ORIENTED_EDGE('',*,*,#10125,.T.); +#13692=ORIENTED_EDGE('',*,*,#10118,.F.); +#13693=ORIENTED_EDGE('',*,*,#10126,.T.); +#13694=ORIENTED_EDGE('',*,*,#10123,.F.); +#13695=ORIENTED_EDGE('',*,*,#10125,.F.); +#13696=ORIENTED_EDGE('',*,*,#10122,.F.); +#13697=ORIENTED_EDGE('',*,*,#10119,.F.); +#13698=ORIENTED_EDGE('',*,*,#10115,.F.); +#13699=ORIENTED_EDGE('',*,*,#10117,.F.); +#13700=ORIENTED_EDGE('',*,*,#10121,.F.); +#13701=ORIENTED_EDGE('',*,*,#10124,.F.); +#13702=ORIENTED_EDGE('',*,*,#10126,.F.); +#13703=ORIENTED_EDGE('',*,*,#10127,.T.); +#13704=ORIENTED_EDGE('',*,*,#10128,.T.); +#13705=ORIENTED_EDGE('',*,*,#10129,.T.); +#13706=ORIENTED_EDGE('',*,*,#10130,.T.); +#13707=ORIENTED_EDGE('',*,*,#10131,.T.); +#13708=ORIENTED_EDGE('',*,*,#10132,.T.); +#13709=ORIENTED_EDGE('',*,*,#10133,.T.); +#13710=ORIENTED_EDGE('',*,*,#10128,.F.); +#13711=ORIENTED_EDGE('',*,*,#10134,.T.); +#13712=ORIENTED_EDGE('',*,*,#10135,.T.); +#13713=ORIENTED_EDGE('',*,*,#10136,.T.); +#13714=ORIENTED_EDGE('',*,*,#10132,.F.); +#13715=ORIENTED_EDGE('',*,*,#10137,.T.); +#13716=ORIENTED_EDGE('',*,*,#10130,.F.); +#13717=ORIENTED_EDGE('',*,*,#10138,.T.); +#13718=ORIENTED_EDGE('',*,*,#10135,.F.); +#13719=ORIENTED_EDGE('',*,*,#10137,.F.); +#13720=ORIENTED_EDGE('',*,*,#10134,.F.); +#13721=ORIENTED_EDGE('',*,*,#10131,.F.); +#13722=ORIENTED_EDGE('',*,*,#10127,.F.); +#13723=ORIENTED_EDGE('',*,*,#10129,.F.); +#13724=ORIENTED_EDGE('',*,*,#10133,.F.); +#13725=ORIENTED_EDGE('',*,*,#10136,.F.); +#13726=ORIENTED_EDGE('',*,*,#10138,.F.); +#13727=ORIENTED_EDGE('',*,*,#10139,.T.); +#13728=ORIENTED_EDGE('',*,*,#10140,.T.); +#13729=ORIENTED_EDGE('',*,*,#10141,.T.); +#13730=ORIENTED_EDGE('',*,*,#10142,.T.); +#13731=ORIENTED_EDGE('',*,*,#10143,.T.); +#13732=ORIENTED_EDGE('',*,*,#10144,.T.); +#13733=ORIENTED_EDGE('',*,*,#10145,.T.); +#13734=ORIENTED_EDGE('',*,*,#10140,.F.); +#13735=ORIENTED_EDGE('',*,*,#10146,.T.); +#13736=ORIENTED_EDGE('',*,*,#10147,.T.); +#13737=ORIENTED_EDGE('',*,*,#10148,.T.); +#13738=ORIENTED_EDGE('',*,*,#10144,.F.); +#13739=ORIENTED_EDGE('',*,*,#10149,.T.); +#13740=ORIENTED_EDGE('',*,*,#10142,.F.); +#13741=ORIENTED_EDGE('',*,*,#10150,.T.); +#13742=ORIENTED_EDGE('',*,*,#10147,.F.); +#13743=ORIENTED_EDGE('',*,*,#10149,.F.); +#13744=ORIENTED_EDGE('',*,*,#10146,.F.); +#13745=ORIENTED_EDGE('',*,*,#10143,.F.); +#13746=ORIENTED_EDGE('',*,*,#10139,.F.); +#13747=ORIENTED_EDGE('',*,*,#10141,.F.); +#13748=ORIENTED_EDGE('',*,*,#10145,.F.); +#13749=ORIENTED_EDGE('',*,*,#10148,.F.); +#13750=ORIENTED_EDGE('',*,*,#10150,.F.); +#13751=ORIENTED_EDGE('',*,*,#10151,.T.); +#13752=ORIENTED_EDGE('',*,*,#10152,.T.); +#13753=ORIENTED_EDGE('',*,*,#10153,.T.); +#13754=ORIENTED_EDGE('',*,*,#10154,.T.); +#13755=ORIENTED_EDGE('',*,*,#10155,.T.); +#13756=ORIENTED_EDGE('',*,*,#10156,.T.); +#13757=ORIENTED_EDGE('',*,*,#10157,.T.); +#13758=ORIENTED_EDGE('',*,*,#10152,.F.); +#13759=ORIENTED_EDGE('',*,*,#10158,.T.); +#13760=ORIENTED_EDGE('',*,*,#10159,.T.); +#13761=ORIENTED_EDGE('',*,*,#10160,.T.); +#13762=ORIENTED_EDGE('',*,*,#10156,.F.); +#13763=ORIENTED_EDGE('',*,*,#10161,.T.); +#13764=ORIENTED_EDGE('',*,*,#10154,.F.); +#13765=ORIENTED_EDGE('',*,*,#10162,.T.); +#13766=ORIENTED_EDGE('',*,*,#10159,.F.); +#13767=ORIENTED_EDGE('',*,*,#10161,.F.); +#13768=ORIENTED_EDGE('',*,*,#10158,.F.); +#13769=ORIENTED_EDGE('',*,*,#10155,.F.); +#13770=ORIENTED_EDGE('',*,*,#10151,.F.); +#13771=ORIENTED_EDGE('',*,*,#10153,.F.); +#13772=ORIENTED_EDGE('',*,*,#10157,.F.); +#13773=ORIENTED_EDGE('',*,*,#10160,.F.); +#13774=ORIENTED_EDGE('',*,*,#10162,.F.); +#13775=ORIENTED_EDGE('',*,*,#10163,.T.); +#13776=ORIENTED_EDGE('',*,*,#10164,.T.); +#13777=ORIENTED_EDGE('',*,*,#10165,.T.); +#13778=ORIENTED_EDGE('',*,*,#10166,.T.); +#13779=ORIENTED_EDGE('',*,*,#10167,.T.); +#13780=ORIENTED_EDGE('',*,*,#10168,.T.); +#13781=ORIENTED_EDGE('',*,*,#10169,.T.); +#13782=ORIENTED_EDGE('',*,*,#10164,.F.); +#13783=ORIENTED_EDGE('',*,*,#10170,.T.); +#13784=ORIENTED_EDGE('',*,*,#10171,.T.); +#13785=ORIENTED_EDGE('',*,*,#10172,.T.); +#13786=ORIENTED_EDGE('',*,*,#10168,.F.); +#13787=ORIENTED_EDGE('',*,*,#10173,.T.); +#13788=ORIENTED_EDGE('',*,*,#10166,.F.); +#13789=ORIENTED_EDGE('',*,*,#10174,.T.); +#13790=ORIENTED_EDGE('',*,*,#10171,.F.); +#13791=ORIENTED_EDGE('',*,*,#10173,.F.); +#13792=ORIENTED_EDGE('',*,*,#10170,.F.); +#13793=ORIENTED_EDGE('',*,*,#10167,.F.); +#13794=ORIENTED_EDGE('',*,*,#10163,.F.); +#13795=ORIENTED_EDGE('',*,*,#10165,.F.); +#13796=ORIENTED_EDGE('',*,*,#10169,.F.); +#13797=ORIENTED_EDGE('',*,*,#10172,.F.); +#13798=ORIENTED_EDGE('',*,*,#10174,.F.); +#13799=ORIENTED_EDGE('',*,*,#10175,.T.); +#13800=ORIENTED_EDGE('',*,*,#10176,.T.); +#13801=ORIENTED_EDGE('',*,*,#10177,.T.); +#13802=ORIENTED_EDGE('',*,*,#10178,.T.); +#13803=ORIENTED_EDGE('',*,*,#10179,.T.); +#13804=ORIENTED_EDGE('',*,*,#10180,.T.); +#13805=ORIENTED_EDGE('',*,*,#10181,.T.); +#13806=ORIENTED_EDGE('',*,*,#10176,.F.); +#13807=ORIENTED_EDGE('',*,*,#10182,.T.); +#13808=ORIENTED_EDGE('',*,*,#10183,.T.); +#13809=ORIENTED_EDGE('',*,*,#10184,.T.); +#13810=ORIENTED_EDGE('',*,*,#10180,.F.); +#13811=ORIENTED_EDGE('',*,*,#10185,.T.); +#13812=ORIENTED_EDGE('',*,*,#10186,.T.); +#13813=ORIENTED_EDGE('',*,*,#10187,.T.); +#13814=ORIENTED_EDGE('',*,*,#10183,.F.); +#13815=ORIENTED_EDGE('',*,*,#10188,.T.); +#13816=ORIENTED_EDGE('',*,*,#10189,.T.); +#13817=ORIENTED_EDGE('',*,*,#10190,.T.); +#13818=ORIENTED_EDGE('',*,*,#10186,.F.); +#13819=ORIENTED_EDGE('',*,*,#10191,.T.); +#13820=ORIENTED_EDGE('',*,*,#10192,.T.); +#13821=ORIENTED_EDGE('',*,*,#10193,.T.); +#13822=ORIENTED_EDGE('',*,*,#10189,.F.); +#13823=ORIENTED_EDGE('',*,*,#10194,.T.); +#13824=ORIENTED_EDGE('',*,*,#10195,.T.); +#13825=ORIENTED_EDGE('',*,*,#10196,.T.); +#13826=ORIENTED_EDGE('',*,*,#10192,.F.); +#13827=ORIENTED_EDGE('',*,*,#10197,.T.); +#13828=ORIENTED_EDGE('',*,*,#10198,.T.); +#13829=ORIENTED_EDGE('',*,*,#10199,.T.); +#13830=ORIENTED_EDGE('',*,*,#10195,.F.); +#13831=ORIENTED_EDGE('',*,*,#10200,.T.); +#13832=ORIENTED_EDGE('',*,*,#10201,.T.); +#13833=ORIENTED_EDGE('',*,*,#10202,.T.); +#13834=ORIENTED_EDGE('',*,*,#10198,.F.); +#13835=ORIENTED_EDGE('',*,*,#10203,.T.); +#13836=ORIENTED_EDGE('',*,*,#10204,.T.); +#13837=ORIENTED_EDGE('',*,*,#10205,.T.); +#13838=ORIENTED_EDGE('',*,*,#10201,.F.); +#13839=ORIENTED_EDGE('',*,*,#10206,.T.); +#13840=ORIENTED_EDGE('',*,*,#10207,.T.); +#13841=ORIENTED_EDGE('',*,*,#10208,.T.); +#13842=ORIENTED_EDGE('',*,*,#10204,.F.); +#13843=ORIENTED_EDGE('',*,*,#10209,.T.); +#13844=ORIENTED_EDGE('',*,*,#10210,.T.); +#13845=ORIENTED_EDGE('',*,*,#10211,.T.); +#13846=ORIENTED_EDGE('',*,*,#10207,.F.); +#13847=ORIENTED_EDGE('',*,*,#10212,.T.); +#13848=ORIENTED_EDGE('',*,*,#10213,.T.); +#13849=ORIENTED_EDGE('',*,*,#10214,.T.); +#13850=ORIENTED_EDGE('',*,*,#10210,.F.); +#13851=ORIENTED_EDGE('',*,*,#10215,.T.); +#13852=ORIENTED_EDGE('',*,*,#10178,.F.); +#13853=ORIENTED_EDGE('',*,*,#10216,.T.); +#13854=ORIENTED_EDGE('',*,*,#10213,.F.); +#13855=ORIENTED_EDGE('',*,*,#10215,.F.); +#13856=ORIENTED_EDGE('',*,*,#10212,.F.); +#13857=ORIENTED_EDGE('',*,*,#10209,.F.); +#13858=ORIENTED_EDGE('',*,*,#10206,.F.); +#13859=ORIENTED_EDGE('',*,*,#10203,.F.); +#13860=ORIENTED_EDGE('',*,*,#10200,.F.); +#13861=ORIENTED_EDGE('',*,*,#10197,.F.); +#13862=ORIENTED_EDGE('',*,*,#10194,.F.); +#13863=ORIENTED_EDGE('',*,*,#10191,.F.); +#13864=ORIENTED_EDGE('',*,*,#10188,.F.); +#13865=ORIENTED_EDGE('',*,*,#10185,.F.); +#13866=ORIENTED_EDGE('',*,*,#10182,.F.); +#13867=ORIENTED_EDGE('',*,*,#10179,.F.); +#13868=ORIENTED_EDGE('',*,*,#10175,.F.); +#13869=ORIENTED_EDGE('',*,*,#10177,.F.); +#13870=ORIENTED_EDGE('',*,*,#10181,.F.); +#13871=ORIENTED_EDGE('',*,*,#10184,.F.); +#13872=ORIENTED_EDGE('',*,*,#10187,.F.); +#13873=ORIENTED_EDGE('',*,*,#10190,.F.); +#13874=ORIENTED_EDGE('',*,*,#10193,.F.); +#13875=ORIENTED_EDGE('',*,*,#10196,.F.); +#13876=ORIENTED_EDGE('',*,*,#10199,.F.); +#13877=ORIENTED_EDGE('',*,*,#10202,.F.); +#13878=ORIENTED_EDGE('',*,*,#10205,.F.); +#13879=ORIENTED_EDGE('',*,*,#10208,.F.); +#13880=ORIENTED_EDGE('',*,*,#10211,.F.); +#13881=ORIENTED_EDGE('',*,*,#10214,.F.); +#13882=ORIENTED_EDGE('',*,*,#10216,.F.); +#13883=ORIENTED_EDGE('',*,*,#10217,.F.); +#13884=ORIENTED_EDGE('',*,*,#10218,.F.); +#13885=ORIENTED_EDGE('',*,*,#10219,.T.); +#13886=ORIENTED_EDGE('',*,*,#10220,.F.); +#13887=ORIENTED_EDGE('',*,*,#10221,.F.); +#13888=ORIENTED_EDGE('',*,*,#10219,.F.); +#13889=ORIENTED_EDGE('',*,*,#10222,.F.); +#13890=ORIENTED_EDGE('',*,*,#10223,.F.); +#13891=ORIENTED_EDGE('',*,*,#10224,.F.); +#13892=ORIENTED_EDGE('',*,*,#10225,.F.); +#13893=ORIENTED_EDGE('',*,*,#10226,.T.); +#13894=ORIENTED_EDGE('',*,*,#10227,.F.); +#13895=ORIENTED_EDGE('',*,*,#10228,.F.); +#13896=ORIENTED_EDGE('',*,*,#10226,.F.); +#13897=ORIENTED_EDGE('',*,*,#10229,.F.); +#13898=ORIENTED_EDGE('',*,*,#10230,.F.); +#13899=ORIENTED_EDGE('',*,*,#10231,.F.); +#13900=ORIENTED_EDGE('',*,*,#10232,.F.); +#13901=ORIENTED_EDGE('',*,*,#10233,.T.); +#13902=ORIENTED_EDGE('',*,*,#10234,.F.); +#13903=ORIENTED_EDGE('',*,*,#10235,.F.); +#13904=ORIENTED_EDGE('',*,*,#10233,.F.); +#13905=ORIENTED_EDGE('',*,*,#10236,.F.); +#13906=ORIENTED_EDGE('',*,*,#10237,.F.); +#13907=ORIENTED_EDGE('',*,*,#10223,.T.); +#13908=ORIENTED_EDGE('',*,*,#10238,.F.); +#13909=ORIENTED_EDGE('',*,*,#10239,.F.); +#13910=ORIENTED_EDGE('',*,*,#10240,.F.); +#13911=ORIENTED_EDGE('',*,*,#10222,.T.); +#13912=ORIENTED_EDGE('',*,*,#10218,.T.); +#13913=ORIENTED_EDGE('',*,*,#10241,.F.); +#13914=ORIENTED_EDGE('',*,*,#10242,.T.); +#13915=ORIENTED_EDGE('',*,*,#10243,.T.); +#13916=ORIENTED_EDGE('',*,*,#10244,.T.); +#13917=ORIENTED_EDGE('',*,*,#10245,.F.); +#13918=ORIENTED_EDGE('',*,*,#10238,.T.); +#13919=ORIENTED_EDGE('',*,*,#10230,.T.); +#13920=ORIENTED_EDGE('',*,*,#10246,.F.); +#13921=ORIENTED_EDGE('',*,*,#10247,.F.); +#13922=ORIENTED_EDGE('',*,*,#10248,.F.); +#13923=ORIENTED_EDGE('',*,*,#10237,.T.); +#13924=ORIENTED_EDGE('',*,*,#10249,.F.); +#13925=ORIENTED_EDGE('',*,*,#10250,.F.); +#13926=ORIENTED_EDGE('',*,*,#10251,.F.); +#13927=ORIENTED_EDGE('',*,*,#10252,.F.); +#13928=ORIENTED_EDGE('',*,*,#10253,.F.); +#13929=ORIENTED_EDGE('',*,*,#10254,.T.); +#13930=ORIENTED_EDGE('',*,*,#10242,.F.); +#13931=ORIENTED_EDGE('',*,*,#10243,.F.); +#13932=ORIENTED_EDGE('',*,*,#10254,.F.); +#13933=ORIENTED_EDGE('',*,*,#10255,.F.); +#13934=ORIENTED_EDGE('',*,*,#10256,.F.); +#13935=ORIENTED_EDGE('',*,*,#10217,.T.); +#13936=ORIENTED_EDGE('',*,*,#10257,.T.); +#13937=ORIENTED_EDGE('',*,*,#10224,.T.); +#13938=ORIENTED_EDGE('',*,*,#10258,.T.); +#13939=ORIENTED_EDGE('',*,*,#10231,.T.); +#13940=ORIENTED_EDGE('',*,*,#10259,.T.); +#13941=ORIENTED_EDGE('',*,*,#10252,.T.); +#13942=ORIENTED_EDGE('',*,*,#10241,.T.); +#13943=ORIENTED_EDGE('',*,*,#10260,.T.); +#13944=ORIENTED_EDGE('',*,*,#10261,.T.); +#13945=ORIENTED_EDGE('',*,*,#10262,.T.); +#13946=ORIENTED_EDGE('',*,*,#10263,.T.); +#13947=ORIENTED_EDGE('',*,*,#10264,.T.); +#13948=ORIENTED_EDGE('',*,*,#10265,.T.); +#13949=ORIENTED_EDGE('',*,*,#10262,.F.); +#13950=ORIENTED_EDGE('',*,*,#10266,.T.); +#13951=ORIENTED_EDGE('',*,*,#10267,.T.); +#13952=ORIENTED_EDGE('',*,*,#10268,.T.); +#13953=ORIENTED_EDGE('',*,*,#10264,.F.); +#13954=ORIENTED_EDGE('',*,*,#10269,.T.); +#13955=ORIENTED_EDGE('',*,*,#10270,.T.); +#13956=ORIENTED_EDGE('',*,*,#10271,.T.); +#13957=ORIENTED_EDGE('',*,*,#10267,.F.); +#13958=ORIENTED_EDGE('',*,*,#10272,.T.); +#13959=ORIENTED_EDGE('',*,*,#10273,.T.); +#13960=ORIENTED_EDGE('',*,*,#10274,.T.); +#13961=ORIENTED_EDGE('',*,*,#10270,.F.); +#13962=ORIENTED_EDGE('',*,*,#10275,.T.); +#13963=ORIENTED_EDGE('',*,*,#10276,.T.); +#13964=ORIENTED_EDGE('',*,*,#10277,.T.); +#13965=ORIENTED_EDGE('',*,*,#10273,.F.); +#13966=ORIENTED_EDGE('',*,*,#10278,.T.); +#13967=ORIENTED_EDGE('',*,*,#10279,.T.); +#13968=ORIENTED_EDGE('',*,*,#10280,.T.); +#13969=ORIENTED_EDGE('',*,*,#10276,.F.); +#13970=ORIENTED_EDGE('',*,*,#10281,.T.); +#13971=ORIENTED_EDGE('',*,*,#10260,.F.); +#13972=ORIENTED_EDGE('',*,*,#10282,.T.); +#13973=ORIENTED_EDGE('',*,*,#10279,.F.); +#13974=ORIENTED_EDGE('',*,*,#10283,.T.); +#13975=ORIENTED_EDGE('',*,*,#10272,.F.); +#13976=ORIENTED_EDGE('',*,*,#10284,.T.); +#13977=ORIENTED_EDGE('',*,*,#10285,.T.); +#13978=ORIENTED_EDGE('',*,*,#10286,.F.); +#13979=ORIENTED_EDGE('',*,*,#10269,.F.); +#13980=ORIENTED_EDGE('',*,*,#10287,.T.); +#13981=ORIENTED_EDGE('',*,*,#10288,.T.); +#13982=ORIENTED_EDGE('',*,*,#10284,.F.); +#13983=ORIENTED_EDGE('',*,*,#10266,.F.); +#13984=ORIENTED_EDGE('',*,*,#10289,.T.); +#13985=ORIENTED_EDGE('',*,*,#10290,.T.); +#13986=ORIENTED_EDGE('',*,*,#10287,.F.); +#13987=ORIENTED_EDGE('',*,*,#10261,.F.); +#13988=ORIENTED_EDGE('',*,*,#10291,.T.); +#13989=ORIENTED_EDGE('',*,*,#10292,.T.); +#13990=ORIENTED_EDGE('',*,*,#10289,.F.); +#13991=ORIENTED_EDGE('',*,*,#10239,.T.); +#13992=ORIENTED_EDGE('',*,*,#10245,.T.); +#13993=ORIENTED_EDGE('',*,*,#10293,.T.); +#13994=ORIENTED_EDGE('',*,*,#10294,.T.); +#13995=ORIENTED_EDGE('',*,*,#10250,.T.); +#13996=ORIENTED_EDGE('',*,*,#10295,.T.); +#13997=ORIENTED_EDGE('',*,*,#10247,.T.); +#13998=ORIENTED_EDGE('',*,*,#10296,.T.); +#13999=ORIENTED_EDGE('',*,*,#10263,.F.); +#14000=ORIENTED_EDGE('',*,*,#10265,.F.); +#14001=ORIENTED_EDGE('',*,*,#10268,.F.); +#14002=ORIENTED_EDGE('',*,*,#10271,.F.); +#14003=ORIENTED_EDGE('',*,*,#10274,.F.); +#14004=ORIENTED_EDGE('',*,*,#10277,.F.); +#14005=ORIENTED_EDGE('',*,*,#10280,.F.); +#14006=ORIENTED_EDGE('',*,*,#10282,.F.); +#14007=ORIENTED_EDGE('',*,*,#10281,.F.); +#14008=ORIENTED_EDGE('',*,*,#10297,.T.); +#14009=ORIENTED_EDGE('',*,*,#10298,.T.); +#14010=ORIENTED_EDGE('',*,*,#10299,.T.); +#14011=ORIENTED_EDGE('',*,*,#10278,.F.); +#14012=ORIENTED_EDGE('',*,*,#10300,.T.); +#14013=ORIENTED_EDGE('',*,*,#10301,.T.); +#14014=ORIENTED_EDGE('',*,*,#10297,.F.); +#14015=ORIENTED_EDGE('',*,*,#10275,.F.); +#14016=ORIENTED_EDGE('',*,*,#10286,.T.); +#14017=ORIENTED_EDGE('',*,*,#10302,.T.); +#14018=ORIENTED_EDGE('',*,*,#10300,.F.); +#14019=ORIENTED_EDGE('',*,*,#10283,.F.); +#14020=ORIENTED_EDGE('',*,*,#10299,.F.); +#14021=ORIENTED_EDGE('',*,*,#10303,.T.); +#14022=ORIENTED_EDGE('',*,*,#10291,.F.); +#14023=ORIENTED_EDGE('',*,*,#10298,.F.); +#14024=ORIENTED_EDGE('',*,*,#10301,.F.); +#14025=ORIENTED_EDGE('',*,*,#10302,.F.); +#14026=ORIENTED_EDGE('',*,*,#10285,.F.); +#14027=ORIENTED_EDGE('',*,*,#10288,.F.); +#14028=ORIENTED_EDGE('',*,*,#10290,.F.); +#14029=ORIENTED_EDGE('',*,*,#10292,.F.); +#14030=ORIENTED_EDGE('',*,*,#10303,.F.); +#14031=ORIENTED_EDGE('',*,*,#10256,.T.); +#14032=ORIENTED_EDGE('',*,*,#10304,.F.); +#14033=ORIENTED_EDGE('',*,*,#10293,.F.); +#14034=ORIENTED_EDGE('',*,*,#10244,.F.); +#14035=ORIENTED_EDGE('',*,*,#10228,.T.); +#14036=ORIENTED_EDGE('',*,*,#10248,.T.); +#14037=ORIENTED_EDGE('',*,*,#10295,.F.); +#14038=ORIENTED_EDGE('',*,*,#10249,.T.); +#14039=ORIENTED_EDGE('',*,*,#10236,.T.); +#14040=ORIENTED_EDGE('',*,*,#10232,.T.); +#14041=ORIENTED_EDGE('',*,*,#10258,.F.); +#14042=ORIENTED_EDGE('',*,*,#10227,.T.); +#14043=ORIENTED_EDGE('',*,*,#10221,.T.); +#14044=ORIENTED_EDGE('',*,*,#10240,.T.); +#14045=ORIENTED_EDGE('',*,*,#10296,.F.); +#14046=ORIENTED_EDGE('',*,*,#10246,.T.); +#14047=ORIENTED_EDGE('',*,*,#10229,.T.); +#14048=ORIENTED_EDGE('',*,*,#10225,.T.); +#14049=ORIENTED_EDGE('',*,*,#10257,.F.); +#14050=ORIENTED_EDGE('',*,*,#10220,.T.); +#14051=ORIENTED_EDGE('',*,*,#10235,.T.); +#14052=ORIENTED_EDGE('',*,*,#10251,.T.); +#14053=ORIENTED_EDGE('',*,*,#10294,.F.); +#14054=ORIENTED_EDGE('',*,*,#10304,.T.); +#14055=ORIENTED_EDGE('',*,*,#10255,.T.); +#14056=ORIENTED_EDGE('',*,*,#10253,.T.); +#14057=ORIENTED_EDGE('',*,*,#10259,.F.); +#14058=ORIENTED_EDGE('',*,*,#10234,.T.); +#14059=ORIENTED_EDGE('',*,*,#10305,.T.); +#14060=ORIENTED_EDGE('',*,*,#10306,.F.); +#14061=ORIENTED_EDGE('',*,*,#10307,.F.); +#14062=ORIENTED_EDGE('',*,*,#10308,.T.); +#14063=ORIENTED_EDGE('',*,*,#10305,.F.); +#14064=ORIENTED_EDGE('',*,*,#10309,.T.); +#14065=ORIENTED_EDGE('',*,*,#10310,.T.); +#14066=ORIENTED_EDGE('',*,*,#10311,.F.); +#14067=ORIENTED_EDGE('',*,*,#10307,.T.); +#14068=ORIENTED_EDGE('',*,*,#10312,.F.); +#14069=ORIENTED_EDGE('',*,*,#10313,.F.); +#14070=ORIENTED_EDGE('',*,*,#10314,.T.); +#14071=ORIENTED_EDGE('',*,*,#10315,.F.); +#14072=ORIENTED_EDGE('',*,*,#10306,.T.); +#14073=ORIENTED_EDGE('',*,*,#10316,.T.); +#14074=ORIENTED_EDGE('',*,*,#10317,.T.); +#14075=ORIENTED_EDGE('',*,*,#10318,.T.); +#14076=ORIENTED_EDGE('',*,*,#10319,.F.); +#14077=ORIENTED_EDGE('',*,*,#10320,.F.); +#14078=ORIENTED_EDGE('',*,*,#10321,.F.); +#14079=ORIENTED_EDGE('',*,*,#10322,.T.); +#14080=ORIENTED_EDGE('',*,*,#10323,.T.); +#14081=ORIENTED_EDGE('',*,*,#10324,.T.); +#14082=ORIENTED_EDGE('',*,*,#10308,.F.); +#14083=ORIENTED_EDGE('',*,*,#10325,.T.); +#14084=ORIENTED_EDGE('',*,*,#10326,.T.); +#14085=ORIENTED_EDGE('',*,*,#10327,.T.); +#14086=ORIENTED_EDGE('',*,*,#10328,.F.); +#14087=ORIENTED_EDGE('',*,*,#10322,.F.); +#14088=ORIENTED_EDGE('',*,*,#10329,.F.); +#14089=ORIENTED_EDGE('',*,*,#10330,.F.); +#14090=ORIENTED_EDGE('',*,*,#10309,.F.); +#14091=ORIENTED_EDGE('',*,*,#10324,.F.); +#14092=ORIENTED_EDGE('',*,*,#10323,.F.); +#14093=ORIENTED_EDGE('',*,*,#10310,.F.); +#14094=ORIENTED_EDGE('',*,*,#10331,.F.); +#14095=ORIENTED_EDGE('',*,*,#10332,.T.); +#14096=ORIENTED_EDGE('',*,*,#10316,.F.); +#14097=ORIENTED_EDGE('',*,*,#10311,.T.); +#14098=ORIENTED_EDGE('',*,*,#10333,.T.); +#14099=ORIENTED_EDGE('',*,*,#10334,.F.); +#14100=ORIENTED_EDGE('',*,*,#10318,.F.); +#14101=ORIENTED_EDGE('',*,*,#10317,.F.); +#14102=ORIENTED_EDGE('',*,*,#10315,.T.); +#14103=ORIENTED_EDGE('',*,*,#10321,.T.); +#14104=ORIENTED_EDGE('',*,*,#10320,.T.); +#14105=ORIENTED_EDGE('',*,*,#10335,.F.); +#14106=ORIENTED_EDGE('',*,*,#10336,.F.); +#14107=ORIENTED_EDGE('',*,*,#10312,.T.); +#14108=ORIENTED_EDGE('',*,*,#10337,.F.); +#14109=ORIENTED_EDGE('',*,*,#10313,.T.); +#14110=ORIENTED_EDGE('',*,*,#10338,.F.); +#14111=ORIENTED_EDGE('',*,*,#10325,.F.); +#14112=ORIENTED_EDGE('',*,*,#10314,.F.); +#14113=ORIENTED_EDGE('',*,*,#10339,.F.); +#14114=ORIENTED_EDGE('',*,*,#10340,.F.); +#14115=ORIENTED_EDGE('',*,*,#10327,.F.); +#14116=ORIENTED_EDGE('',*,*,#10326,.F.); +#14117=ORIENTED_EDGE('',*,*,#10341,.T.); +#14118=ORIENTED_EDGE('',*,*,#10319,.T.); +#14119=ORIENTED_EDGE('',*,*,#10342,.F.); +#14120=ORIENTED_EDGE('',*,*,#10343,.F.); +#14121=ORIENTED_EDGE('',*,*,#10344,.F.); +#14122=ORIENTED_EDGE('',*,*,#10328,.T.); +#14123=ORIENTED_EDGE('',*,*,#10345,.T.); +#14124=ORIENTED_EDGE('',*,*,#10346,.F.); +#14125=ORIENTED_EDGE('',*,*,#10344,.T.); +#14126=ORIENTED_EDGE('',*,*,#10347,.F.); +#14127=ORIENTED_EDGE('',*,*,#10348,.F.); +#14128=ORIENTED_EDGE('',*,*,#10329,.T.); +#14129=ORIENTED_EDGE('',*,*,#10349,.T.); +#14130=ORIENTED_EDGE('',*,*,#10350,.F.); +#14131=ORIENTED_EDGE('',*,*,#10331,.T.); +#14132=ORIENTED_EDGE('',*,*,#10330,.T.); +#14133=ORIENTED_EDGE('',*,*,#10333,.F.); +#14134=ORIENTED_EDGE('',*,*,#10332,.F.); +#14135=ORIENTED_EDGE('',*,*,#10351,.T.); +#14136=ORIENTED_EDGE('',*,*,#10352,.T.); +#14137=ORIENTED_EDGE('',*,*,#10342,.T.); +#14138=ORIENTED_EDGE('',*,*,#10334,.T.); +#14139=ORIENTED_EDGE('',*,*,#10353,.F.); +#14140=ORIENTED_EDGE('',*,*,#10354,.F.); +#14141=ORIENTED_EDGE('',*,*,#10341,.F.); +#14142=ORIENTED_EDGE('',*,*,#10355,.F.); +#14143=ORIENTED_EDGE('',*,*,#10356,.T.); +#14144=ORIENTED_EDGE('',*,*,#10335,.T.); +#14145=ORIENTED_EDGE('',*,*,#10357,.F.); +#14146=ORIENTED_EDGE('',*,*,#10338,.T.); +#14147=ORIENTED_EDGE('',*,*,#10336,.T.); +#14148=ORIENTED_EDGE('',*,*,#10358,.F.); +#14149=ORIENTED_EDGE('',*,*,#10359,.T.); +#14150=ORIENTED_EDGE('',*,*,#10339,.T.); +#14151=ORIENTED_EDGE('',*,*,#10337,.T.); +#14152=ORIENTED_EDGE('',*,*,#10360,.F.); +#14153=ORIENTED_EDGE('',*,*,#10345,.F.); +#14154=ORIENTED_EDGE('',*,*,#10340,.T.); +#14155=ORIENTED_EDGE('',*,*,#10361,.T.); +#14156=ORIENTED_EDGE('',*,*,#10362,.F.); +#14157=ORIENTED_EDGE('',*,*,#10363,.F.); +#14158=ORIENTED_EDGE('',*,*,#10364,.T.); +#14159=ORIENTED_EDGE('',*,*,#10365,.F.); +#14160=ORIENTED_EDGE('',*,*,#10366,.F.); +#14161=ORIENTED_EDGE('',*,*,#10367,.F.); +#14162=ORIENTED_EDGE('',*,*,#10343,.T.); +#14163=ORIENTED_EDGE('',*,*,#10368,.F.); +#14164=ORIENTED_EDGE('',*,*,#10369,.F.); +#14165=ORIENTED_EDGE('',*,*,#10370,.T.); +#14166=ORIENTED_EDGE('',*,*,#10371,.T.); +#14167=ORIENTED_EDGE('',*,*,#10372,.T.); +#14168=ORIENTED_EDGE('',*,*,#10373,.F.); +#14169=ORIENTED_EDGE('',*,*,#10374,.F.); +#14170=ORIENTED_EDGE('',*,*,#10375,.F.); +#14171=ORIENTED_EDGE('',*,*,#10376,.F.); +#14172=ORIENTED_EDGE('',*,*,#10346,.T.); +#14173=ORIENTED_EDGE('',*,*,#10376,.T.); +#14174=ORIENTED_EDGE('',*,*,#10375,.T.); +#14175=ORIENTED_EDGE('',*,*,#10374,.T.); +#14176=ORIENTED_EDGE('',*,*,#10377,.F.); +#14177=ORIENTED_EDGE('',*,*,#10378,.F.); +#14178=ORIENTED_EDGE('',*,*,#10347,.T.); +#14179=ORIENTED_EDGE('',*,*,#10379,.F.); +#14180=ORIENTED_EDGE('',*,*,#10349,.F.); +#14181=ORIENTED_EDGE('',*,*,#10348,.T.); +#14182=ORIENTED_EDGE('',*,*,#10380,.T.); +#14183=ORIENTED_EDGE('',*,*,#10381,.F.); +#14184=ORIENTED_EDGE('',*,*,#10351,.F.); +#14185=ORIENTED_EDGE('',*,*,#10350,.T.); +#14186=ORIENTED_EDGE('',*,*,#10353,.T.); +#14187=ORIENTED_EDGE('',*,*,#10352,.F.); +#14188=ORIENTED_EDGE('',*,*,#10382,.F.); +#14189=ORIENTED_EDGE('',*,*,#10363,.T.); +#14190=ORIENTED_EDGE('',*,*,#10369,.T.); +#14191=ORIENTED_EDGE('',*,*,#10368,.T.); +#14192=ORIENTED_EDGE('',*,*,#10354,.T.); +#14193=ORIENTED_EDGE('',*,*,#10383,.T.); +#14194=ORIENTED_EDGE('',*,*,#10384,.T.); +#14195=ORIENTED_EDGE('',*,*,#10367,.T.); +#14196=ORIENTED_EDGE('',*,*,#10366,.T.); +#14197=ORIENTED_EDGE('',*,*,#10365,.T.); +#14198=ORIENTED_EDGE('',*,*,#10385,.T.); +#14199=ORIENTED_EDGE('',*,*,#10386,.T.); +#14200=ORIENTED_EDGE('',*,*,#10355,.T.); +#14201=ORIENTED_EDGE('',*,*,#10358,.T.); +#14202=ORIENTED_EDGE('',*,*,#10356,.F.); +#14203=ORIENTED_EDGE('',*,*,#10387,.F.); +#14204=ORIENTED_EDGE('',*,*,#10388,.F.); +#14205=ORIENTED_EDGE('',*,*,#10360,.T.); +#14206=ORIENTED_EDGE('',*,*,#10357,.T.); +#14207=ORIENTED_EDGE('',*,*,#10389,.F.); +#14208=ORIENTED_EDGE('',*,*,#10390,.T.); +#14209=ORIENTED_EDGE('',*,*,#10361,.F.); +#14210=ORIENTED_EDGE('',*,*,#10359,.F.); +#14211=ORIENTED_EDGE('',*,*,#10370,.F.); +#14212=ORIENTED_EDGE('',*,*,#10362,.T.); +#14213=ORIENTED_EDGE('',*,*,#10391,.T.); +#14214=ORIENTED_EDGE('',*,*,#10392,.F.); +#14215=ORIENTED_EDGE('',*,*,#10372,.F.); +#14216=ORIENTED_EDGE('',*,*,#10371,.F.); +#14217=ORIENTED_EDGE('',*,*,#10393,.T.); +#14218=ORIENTED_EDGE('',*,*,#10373,.T.); +#14219=ORIENTED_EDGE('',*,*,#10394,.T.); +#14220=ORIENTED_EDGE('',*,*,#10364,.F.); +#14221=ORIENTED_EDGE('',*,*,#10393,.F.); +#14222=ORIENTED_EDGE('',*,*,#10384,.F.); +#14223=ORIENTED_EDGE('',*,*,#10395,.T.); +#14224=ORIENTED_EDGE('',*,*,#10377,.T.); +#14225=ORIENTED_EDGE('',*,*,#10380,.F.); +#14226=ORIENTED_EDGE('',*,*,#10379,.T.); +#14227=ORIENTED_EDGE('',*,*,#10378,.T.); +#14228=ORIENTED_EDGE('',*,*,#10396,.F.); +#14229=ORIENTED_EDGE('',*,*,#10397,.T.); +#14230=ORIENTED_EDGE('',*,*,#10383,.F.); +#14231=ORIENTED_EDGE('',*,*,#10382,.T.); +#14232=ORIENTED_EDGE('',*,*,#10381,.T.); +#14233=ORIENTED_EDGE('',*,*,#10394,.F.); +#14234=ORIENTED_EDGE('',*,*,#10392,.T.); +#14235=ORIENTED_EDGE('',*,*,#10398,.F.); +#14236=ORIENTED_EDGE('',*,*,#10385,.F.); +#14237=ORIENTED_EDGE('',*,*,#10399,.T.); +#14238=ORIENTED_EDGE('',*,*,#10389,.T.); +#14239=ORIENTED_EDGE('',*,*,#10387,.T.); +#14240=ORIENTED_EDGE('',*,*,#10386,.F.); +#14241=ORIENTED_EDGE('',*,*,#10391,.F.); +#14242=ORIENTED_EDGE('',*,*,#10390,.F.); +#14243=ORIENTED_EDGE('',*,*,#10388,.T.); +#14244=ORIENTED_EDGE('',*,*,#10400,.T.); +#14245=ORIENTED_EDGE('',*,*,#10397,.F.); +#14246=ORIENTED_EDGE('',*,*,#10396,.T.); +#14247=ORIENTED_EDGE('',*,*,#10395,.F.); +#14248=ORIENTED_EDGE('',*,*,#10399,.F.); +#14249=ORIENTED_EDGE('',*,*,#10398,.T.); +#14250=ORIENTED_EDGE('',*,*,#10400,.F.); +#14251=ORIENTED_EDGE('',*,*,#10401,.T.); +#14252=ORIENTED_EDGE('',*,*,#10402,.F.); +#14253=ORIENTED_EDGE('',*,*,#10403,.F.); +#14254=ORIENTED_EDGE('',*,*,#10404,.T.); +#14255=ORIENTED_EDGE('',*,*,#10401,.F.); +#14256=ORIENTED_EDGE('',*,*,#10405,.T.); +#14257=ORIENTED_EDGE('',*,*,#10406,.T.); +#14258=ORIENTED_EDGE('',*,*,#10407,.F.); +#14259=ORIENTED_EDGE('',*,*,#10403,.T.); +#14260=ORIENTED_EDGE('',*,*,#10408,.F.); +#14261=ORIENTED_EDGE('',*,*,#10409,.F.); +#14262=ORIENTED_EDGE('',*,*,#10410,.T.); +#14263=ORIENTED_EDGE('',*,*,#10411,.F.); +#14264=ORIENTED_EDGE('',*,*,#10402,.T.); +#14265=ORIENTED_EDGE('',*,*,#10412,.T.); +#14266=ORIENTED_EDGE('',*,*,#10413,.T.); +#14267=ORIENTED_EDGE('',*,*,#10414,.T.); +#14268=ORIENTED_EDGE('',*,*,#10415,.F.); +#14269=ORIENTED_EDGE('',*,*,#10416,.F.); +#14270=ORIENTED_EDGE('',*,*,#10417,.F.); +#14271=ORIENTED_EDGE('',*,*,#10418,.T.); +#14272=ORIENTED_EDGE('',*,*,#10419,.T.); +#14273=ORIENTED_EDGE('',*,*,#10420,.T.); +#14274=ORIENTED_EDGE('',*,*,#10404,.F.); +#14275=ORIENTED_EDGE('',*,*,#10421,.T.); +#14276=ORIENTED_EDGE('',*,*,#10422,.T.); +#14277=ORIENTED_EDGE('',*,*,#10423,.T.); +#14278=ORIENTED_EDGE('',*,*,#10424,.F.); +#14279=ORIENTED_EDGE('',*,*,#10418,.F.); +#14280=ORIENTED_EDGE('',*,*,#10425,.F.); +#14281=ORIENTED_EDGE('',*,*,#10426,.F.); +#14282=ORIENTED_EDGE('',*,*,#10405,.F.); +#14283=ORIENTED_EDGE('',*,*,#10420,.F.); +#14284=ORIENTED_EDGE('',*,*,#10419,.F.); +#14285=ORIENTED_EDGE('',*,*,#10406,.F.); +#14286=ORIENTED_EDGE('',*,*,#10427,.F.); +#14287=ORIENTED_EDGE('',*,*,#10428,.T.); +#14288=ORIENTED_EDGE('',*,*,#10412,.F.); +#14289=ORIENTED_EDGE('',*,*,#10407,.T.); +#14290=ORIENTED_EDGE('',*,*,#10429,.T.); +#14291=ORIENTED_EDGE('',*,*,#10430,.F.); +#14292=ORIENTED_EDGE('',*,*,#10414,.F.); +#14293=ORIENTED_EDGE('',*,*,#10413,.F.); +#14294=ORIENTED_EDGE('',*,*,#10411,.T.); +#14295=ORIENTED_EDGE('',*,*,#10417,.T.); +#14296=ORIENTED_EDGE('',*,*,#10416,.T.); +#14297=ORIENTED_EDGE('',*,*,#10431,.F.); +#14298=ORIENTED_EDGE('',*,*,#10432,.F.); +#14299=ORIENTED_EDGE('',*,*,#10408,.T.); +#14300=ORIENTED_EDGE('',*,*,#10433,.F.); +#14301=ORIENTED_EDGE('',*,*,#10409,.T.); +#14302=ORIENTED_EDGE('',*,*,#10434,.F.); +#14303=ORIENTED_EDGE('',*,*,#10421,.F.); +#14304=ORIENTED_EDGE('',*,*,#10410,.F.); +#14305=ORIENTED_EDGE('',*,*,#10435,.F.); +#14306=ORIENTED_EDGE('',*,*,#10436,.F.); +#14307=ORIENTED_EDGE('',*,*,#10423,.F.); +#14308=ORIENTED_EDGE('',*,*,#10422,.F.); +#14309=ORIENTED_EDGE('',*,*,#10437,.T.); +#14310=ORIENTED_EDGE('',*,*,#10415,.T.); +#14311=ORIENTED_EDGE('',*,*,#10438,.F.); +#14312=ORIENTED_EDGE('',*,*,#10439,.F.); +#14313=ORIENTED_EDGE('',*,*,#10440,.F.); +#14314=ORIENTED_EDGE('',*,*,#10424,.T.); +#14315=ORIENTED_EDGE('',*,*,#10441,.T.); +#14316=ORIENTED_EDGE('',*,*,#10442,.F.); +#14317=ORIENTED_EDGE('',*,*,#10440,.T.); +#14318=ORIENTED_EDGE('',*,*,#10443,.F.); +#14319=ORIENTED_EDGE('',*,*,#10444,.F.); +#14320=ORIENTED_EDGE('',*,*,#10425,.T.); +#14321=ORIENTED_EDGE('',*,*,#10445,.T.); +#14322=ORIENTED_EDGE('',*,*,#10446,.F.); +#14323=ORIENTED_EDGE('',*,*,#10427,.T.); +#14324=ORIENTED_EDGE('',*,*,#10426,.T.); +#14325=ORIENTED_EDGE('',*,*,#10429,.F.); +#14326=ORIENTED_EDGE('',*,*,#10428,.F.); +#14327=ORIENTED_EDGE('',*,*,#10447,.T.); +#14328=ORIENTED_EDGE('',*,*,#10448,.T.); +#14329=ORIENTED_EDGE('',*,*,#10438,.T.); +#14330=ORIENTED_EDGE('',*,*,#10430,.T.); +#14331=ORIENTED_EDGE('',*,*,#10449,.F.); +#14332=ORIENTED_EDGE('',*,*,#10450,.F.); +#14333=ORIENTED_EDGE('',*,*,#10437,.F.); +#14334=ORIENTED_EDGE('',*,*,#10451,.F.); +#14335=ORIENTED_EDGE('',*,*,#10452,.T.); +#14336=ORIENTED_EDGE('',*,*,#10431,.T.); +#14337=ORIENTED_EDGE('',*,*,#10453,.F.); +#14338=ORIENTED_EDGE('',*,*,#10434,.T.); +#14339=ORIENTED_EDGE('',*,*,#10432,.T.); +#14340=ORIENTED_EDGE('',*,*,#10454,.F.); +#14341=ORIENTED_EDGE('',*,*,#10455,.T.); +#14342=ORIENTED_EDGE('',*,*,#10435,.T.); +#14343=ORIENTED_EDGE('',*,*,#10433,.T.); +#14344=ORIENTED_EDGE('',*,*,#10456,.F.); +#14345=ORIENTED_EDGE('',*,*,#10441,.F.); +#14346=ORIENTED_EDGE('',*,*,#10436,.T.); +#14347=ORIENTED_EDGE('',*,*,#10457,.T.); +#14348=ORIENTED_EDGE('',*,*,#10458,.F.); +#14349=ORIENTED_EDGE('',*,*,#10459,.F.); +#14350=ORIENTED_EDGE('',*,*,#10460,.T.); +#14351=ORIENTED_EDGE('',*,*,#10461,.F.); +#14352=ORIENTED_EDGE('',*,*,#10462,.F.); +#14353=ORIENTED_EDGE('',*,*,#10463,.F.); +#14354=ORIENTED_EDGE('',*,*,#10439,.T.); +#14355=ORIENTED_EDGE('',*,*,#10464,.F.); +#14356=ORIENTED_EDGE('',*,*,#10465,.F.); +#14357=ORIENTED_EDGE('',*,*,#10466,.T.); +#14358=ORIENTED_EDGE('',*,*,#10467,.T.); +#14359=ORIENTED_EDGE('',*,*,#10468,.T.); +#14360=ORIENTED_EDGE('',*,*,#10469,.F.); +#14361=ORIENTED_EDGE('',*,*,#10470,.F.); +#14362=ORIENTED_EDGE('',*,*,#10471,.F.); +#14363=ORIENTED_EDGE('',*,*,#10472,.F.); +#14364=ORIENTED_EDGE('',*,*,#10442,.T.); +#14365=ORIENTED_EDGE('',*,*,#10472,.T.); +#14366=ORIENTED_EDGE('',*,*,#10471,.T.); +#14367=ORIENTED_EDGE('',*,*,#10470,.T.); +#14368=ORIENTED_EDGE('',*,*,#10473,.F.); +#14369=ORIENTED_EDGE('',*,*,#10474,.F.); +#14370=ORIENTED_EDGE('',*,*,#10443,.T.); +#14371=ORIENTED_EDGE('',*,*,#10475,.F.); +#14372=ORIENTED_EDGE('',*,*,#10445,.F.); +#14373=ORIENTED_EDGE('',*,*,#10444,.T.); +#14374=ORIENTED_EDGE('',*,*,#10476,.T.); +#14375=ORIENTED_EDGE('',*,*,#10477,.F.); +#14376=ORIENTED_EDGE('',*,*,#10447,.F.); +#14377=ORIENTED_EDGE('',*,*,#10446,.T.); +#14378=ORIENTED_EDGE('',*,*,#10449,.T.); +#14379=ORIENTED_EDGE('',*,*,#10448,.F.); +#14380=ORIENTED_EDGE('',*,*,#10478,.F.); +#14381=ORIENTED_EDGE('',*,*,#10459,.T.); +#14382=ORIENTED_EDGE('',*,*,#10465,.T.); +#14383=ORIENTED_EDGE('',*,*,#10464,.T.); +#14384=ORIENTED_EDGE('',*,*,#10450,.T.); +#14385=ORIENTED_EDGE('',*,*,#10479,.T.); +#14386=ORIENTED_EDGE('',*,*,#10480,.T.); +#14387=ORIENTED_EDGE('',*,*,#10463,.T.); +#14388=ORIENTED_EDGE('',*,*,#10462,.T.); +#14389=ORIENTED_EDGE('',*,*,#10461,.T.); +#14390=ORIENTED_EDGE('',*,*,#10481,.T.); +#14391=ORIENTED_EDGE('',*,*,#10482,.T.); +#14392=ORIENTED_EDGE('',*,*,#10451,.T.); +#14393=ORIENTED_EDGE('',*,*,#10454,.T.); +#14394=ORIENTED_EDGE('',*,*,#10452,.F.); +#14395=ORIENTED_EDGE('',*,*,#10483,.F.); +#14396=ORIENTED_EDGE('',*,*,#10484,.F.); +#14397=ORIENTED_EDGE('',*,*,#10456,.T.); +#14398=ORIENTED_EDGE('',*,*,#10453,.T.); +#14399=ORIENTED_EDGE('',*,*,#10485,.F.); +#14400=ORIENTED_EDGE('',*,*,#10486,.T.); +#14401=ORIENTED_EDGE('',*,*,#10457,.F.); +#14402=ORIENTED_EDGE('',*,*,#10455,.F.); +#14403=ORIENTED_EDGE('',*,*,#10466,.F.); +#14404=ORIENTED_EDGE('',*,*,#10458,.T.); +#14405=ORIENTED_EDGE('',*,*,#10487,.T.); +#14406=ORIENTED_EDGE('',*,*,#10488,.F.); +#14407=ORIENTED_EDGE('',*,*,#10468,.F.); +#14408=ORIENTED_EDGE('',*,*,#10467,.F.); +#14409=ORIENTED_EDGE('',*,*,#10489,.T.); +#14410=ORIENTED_EDGE('',*,*,#10469,.T.); +#14411=ORIENTED_EDGE('',*,*,#10490,.T.); +#14412=ORIENTED_EDGE('',*,*,#10460,.F.); +#14413=ORIENTED_EDGE('',*,*,#10489,.F.); +#14414=ORIENTED_EDGE('',*,*,#10480,.F.); +#14415=ORIENTED_EDGE('',*,*,#10491,.T.); +#14416=ORIENTED_EDGE('',*,*,#10473,.T.); +#14417=ORIENTED_EDGE('',*,*,#10476,.F.); +#14418=ORIENTED_EDGE('',*,*,#10475,.T.); +#14419=ORIENTED_EDGE('',*,*,#10474,.T.); +#14420=ORIENTED_EDGE('',*,*,#10492,.F.); +#14421=ORIENTED_EDGE('',*,*,#10493,.T.); +#14422=ORIENTED_EDGE('',*,*,#10479,.F.); +#14423=ORIENTED_EDGE('',*,*,#10478,.T.); +#14424=ORIENTED_EDGE('',*,*,#10477,.T.); +#14425=ORIENTED_EDGE('',*,*,#10490,.F.); +#14426=ORIENTED_EDGE('',*,*,#10488,.T.); +#14427=ORIENTED_EDGE('',*,*,#10494,.F.); +#14428=ORIENTED_EDGE('',*,*,#10481,.F.); +#14429=ORIENTED_EDGE('',*,*,#10495,.T.); +#14430=ORIENTED_EDGE('',*,*,#10485,.T.); +#14431=ORIENTED_EDGE('',*,*,#10483,.T.); +#14432=ORIENTED_EDGE('',*,*,#10482,.F.); +#14433=ORIENTED_EDGE('',*,*,#10487,.F.); +#14434=ORIENTED_EDGE('',*,*,#10486,.F.); +#14435=ORIENTED_EDGE('',*,*,#10484,.T.); +#14436=ORIENTED_EDGE('',*,*,#10496,.T.); +#14437=ORIENTED_EDGE('',*,*,#10493,.F.); +#14438=ORIENTED_EDGE('',*,*,#10492,.T.); +#14439=ORIENTED_EDGE('',*,*,#10491,.F.); +#14440=ORIENTED_EDGE('',*,*,#10495,.F.); +#14441=ORIENTED_EDGE('',*,*,#10494,.T.); +#14442=ORIENTED_EDGE('',*,*,#10496,.F.); +#14443=ORIENTED_EDGE('',*,*,#10497,.T.); +#14444=ORIENTED_EDGE('',*,*,#10498,.F.); +#14445=ORIENTED_EDGE('',*,*,#10499,.F.); +#14446=ORIENTED_EDGE('',*,*,#10500,.T.); +#14447=ORIENTED_EDGE('',*,*,#10497,.F.); +#14448=ORIENTED_EDGE('',*,*,#10501,.T.); +#14449=ORIENTED_EDGE('',*,*,#10502,.T.); +#14450=ORIENTED_EDGE('',*,*,#10503,.F.); +#14451=ORIENTED_EDGE('',*,*,#10499,.T.); +#14452=ORIENTED_EDGE('',*,*,#10504,.F.); +#14453=ORIENTED_EDGE('',*,*,#10505,.F.); +#14454=ORIENTED_EDGE('',*,*,#10506,.T.); +#14455=ORIENTED_EDGE('',*,*,#10507,.F.); +#14456=ORIENTED_EDGE('',*,*,#10498,.T.); +#14457=ORIENTED_EDGE('',*,*,#10508,.T.); +#14458=ORIENTED_EDGE('',*,*,#10509,.T.); +#14459=ORIENTED_EDGE('',*,*,#10510,.T.); +#14460=ORIENTED_EDGE('',*,*,#10511,.F.); +#14461=ORIENTED_EDGE('',*,*,#10512,.F.); +#14462=ORIENTED_EDGE('',*,*,#10513,.F.); +#14463=ORIENTED_EDGE('',*,*,#10514,.T.); +#14464=ORIENTED_EDGE('',*,*,#10515,.T.); +#14465=ORIENTED_EDGE('',*,*,#10516,.T.); +#14466=ORIENTED_EDGE('',*,*,#10500,.F.); +#14467=ORIENTED_EDGE('',*,*,#10517,.T.); +#14468=ORIENTED_EDGE('',*,*,#10518,.T.); +#14469=ORIENTED_EDGE('',*,*,#10519,.T.); +#14470=ORIENTED_EDGE('',*,*,#10520,.F.); +#14471=ORIENTED_EDGE('',*,*,#10514,.F.); +#14472=ORIENTED_EDGE('',*,*,#10521,.F.); +#14473=ORIENTED_EDGE('',*,*,#10522,.F.); +#14474=ORIENTED_EDGE('',*,*,#10501,.F.); +#14475=ORIENTED_EDGE('',*,*,#10516,.F.); +#14476=ORIENTED_EDGE('',*,*,#10515,.F.); +#14477=ORIENTED_EDGE('',*,*,#10502,.F.); +#14478=ORIENTED_EDGE('',*,*,#10523,.T.); +#14479=ORIENTED_EDGE('',*,*,#10524,.F.); +#14480=ORIENTED_EDGE('',*,*,#10508,.F.); +#14481=ORIENTED_EDGE('',*,*,#10503,.T.); +#14482=ORIENTED_EDGE('',*,*,#10525,.T.); +#14483=ORIENTED_EDGE('',*,*,#10526,.F.); +#14484=ORIENTED_EDGE('',*,*,#10510,.F.); +#14485=ORIENTED_EDGE('',*,*,#10509,.F.); +#14486=ORIENTED_EDGE('',*,*,#10507,.T.); +#14487=ORIENTED_EDGE('',*,*,#10513,.T.); +#14488=ORIENTED_EDGE('',*,*,#10512,.T.); +#14489=ORIENTED_EDGE('',*,*,#10527,.F.); +#14490=ORIENTED_EDGE('',*,*,#10528,.F.); +#14491=ORIENTED_EDGE('',*,*,#10504,.T.); +#14492=ORIENTED_EDGE('',*,*,#10529,.F.); +#14493=ORIENTED_EDGE('',*,*,#10505,.T.); +#14494=ORIENTED_EDGE('',*,*,#10530,.F.); +#14495=ORIENTED_EDGE('',*,*,#10517,.F.); +#14496=ORIENTED_EDGE('',*,*,#10506,.F.); +#14497=ORIENTED_EDGE('',*,*,#10531,.F.); +#14498=ORIENTED_EDGE('',*,*,#10532,.F.); +#14499=ORIENTED_EDGE('',*,*,#10519,.F.); +#14500=ORIENTED_EDGE('',*,*,#10518,.F.); +#14501=ORIENTED_EDGE('',*,*,#10533,.T.); +#14502=ORIENTED_EDGE('',*,*,#10511,.T.); +#14503=ORIENTED_EDGE('',*,*,#10534,.F.); +#14504=ORIENTED_EDGE('',*,*,#10535,.F.); +#14505=ORIENTED_EDGE('',*,*,#10536,.F.); +#14506=ORIENTED_EDGE('',*,*,#10520,.T.); +#14507=ORIENTED_EDGE('',*,*,#10537,.T.); +#14508=ORIENTED_EDGE('',*,*,#10538,.F.); +#14509=ORIENTED_EDGE('',*,*,#10536,.T.); +#14510=ORIENTED_EDGE('',*,*,#10539,.F.); +#14511=ORIENTED_EDGE('',*,*,#10540,.F.); +#14512=ORIENTED_EDGE('',*,*,#10521,.T.); +#14513=ORIENTED_EDGE('',*,*,#10541,.F.); +#14514=ORIENTED_EDGE('',*,*,#10523,.F.); +#14515=ORIENTED_EDGE('',*,*,#10522,.T.); +#14516=ORIENTED_EDGE('',*,*,#10542,.T.); +#14517=ORIENTED_EDGE('',*,*,#10524,.T.); +#14518=ORIENTED_EDGE('',*,*,#10543,.T.); +#14519=ORIENTED_EDGE('',*,*,#10544,.T.); +#14520=ORIENTED_EDGE('',*,*,#10525,.F.); +#14521=ORIENTED_EDGE('',*,*,#10534,.T.); +#14522=ORIENTED_EDGE('',*,*,#10526,.T.); +#14523=ORIENTED_EDGE('',*,*,#10545,.T.); +#14524=ORIENTED_EDGE('',*,*,#10546,.F.); +#14525=ORIENTED_EDGE('',*,*,#10533,.F.); +#14526=ORIENTED_EDGE('',*,*,#10547,.F.); +#14527=ORIENTED_EDGE('',*,*,#10548,.F.); +#14528=ORIENTED_EDGE('',*,*,#10527,.T.); +#14529=ORIENTED_EDGE('',*,*,#10530,.T.); +#14530=ORIENTED_EDGE('',*,*,#10528,.T.); +#14531=ORIENTED_EDGE('',*,*,#10549,.T.); +#14532=ORIENTED_EDGE('',*,*,#10550,.F.); +#14533=ORIENTED_EDGE('',*,*,#10551,.F.); +#14534=ORIENTED_EDGE('',*,*,#10552,.F.); +#14535=ORIENTED_EDGE('',*,*,#10531,.T.); +#14536=ORIENTED_EDGE('',*,*,#10529,.T.); +#14537=ORIENTED_EDGE('',*,*,#10537,.F.); +#14538=ORIENTED_EDGE('',*,*,#10532,.T.); +#14539=ORIENTED_EDGE('',*,*,#10553,.T.); +#14540=ORIENTED_EDGE('',*,*,#10554,.F.); +#14541=ORIENTED_EDGE('',*,*,#10555,.F.); +#14542=ORIENTED_EDGE('',*,*,#10556,.T.); +#14543=ORIENTED_EDGE('',*,*,#10557,.F.); +#14544=ORIENTED_EDGE('',*,*,#10558,.F.); +#14545=ORIENTED_EDGE('',*,*,#10559,.F.); +#14546=ORIENTED_EDGE('',*,*,#10535,.T.); +#14547=ORIENTED_EDGE('',*,*,#10560,.F.); +#14548=ORIENTED_EDGE('',*,*,#10561,.F.); +#14549=ORIENTED_EDGE('',*,*,#10562,.T.); +#14550=ORIENTED_EDGE('',*,*,#10563,.T.); +#14551=ORIENTED_EDGE('',*,*,#10564,.T.); +#14552=ORIENTED_EDGE('',*,*,#10565,.F.); +#14553=ORIENTED_EDGE('',*,*,#10566,.F.); +#14554=ORIENTED_EDGE('',*,*,#10567,.F.); +#14555=ORIENTED_EDGE('',*,*,#10568,.F.); +#14556=ORIENTED_EDGE('',*,*,#10538,.T.); +#14557=ORIENTED_EDGE('',*,*,#10568,.T.); +#14558=ORIENTED_EDGE('',*,*,#10567,.T.); +#14559=ORIENTED_EDGE('',*,*,#10566,.T.); +#14560=ORIENTED_EDGE('',*,*,#10569,.F.); +#14561=ORIENTED_EDGE('',*,*,#10570,.F.); +#14562=ORIENTED_EDGE('',*,*,#10539,.T.); +#14563=ORIENTED_EDGE('',*,*,#10571,.F.); +#14564=ORIENTED_EDGE('',*,*,#10542,.F.); +#14565=ORIENTED_EDGE('',*,*,#10540,.T.); +#14566=ORIENTED_EDGE('',*,*,#10541,.T.); +#14567=ORIENTED_EDGE('',*,*,#10572,.T.); +#14568=ORIENTED_EDGE('',*,*,#10573,.F.); +#14569=ORIENTED_EDGE('',*,*,#10543,.F.); +#14570=ORIENTED_EDGE('',*,*,#10545,.F.); +#14571=ORIENTED_EDGE('',*,*,#10544,.F.); +#14572=ORIENTED_EDGE('',*,*,#10574,.T.); +#14573=ORIENTED_EDGE('',*,*,#10555,.T.); +#14574=ORIENTED_EDGE('',*,*,#10561,.T.); +#14575=ORIENTED_EDGE('',*,*,#10560,.T.); +#14576=ORIENTED_EDGE('',*,*,#10546,.T.); +#14577=ORIENTED_EDGE('',*,*,#10575,.T.); +#14578=ORIENTED_EDGE('',*,*,#10576,.T.); +#14579=ORIENTED_EDGE('',*,*,#10559,.T.); +#14580=ORIENTED_EDGE('',*,*,#10558,.T.); +#14581=ORIENTED_EDGE('',*,*,#10557,.T.); +#14582=ORIENTED_EDGE('',*,*,#10577,.T.); +#14583=ORIENTED_EDGE('',*,*,#10578,.T.); +#14584=ORIENTED_EDGE('',*,*,#10547,.T.); +#14585=ORIENTED_EDGE('',*,*,#10549,.F.); +#14586=ORIENTED_EDGE('',*,*,#10548,.T.); +#14587=ORIENTED_EDGE('',*,*,#10579,.F.); +#14588=ORIENTED_EDGE('',*,*,#10551,.T.); +#14589=ORIENTED_EDGE('',*,*,#10550,.T.); +#14590=ORIENTED_EDGE('',*,*,#10580,.F.); +#14591=ORIENTED_EDGE('',*,*,#10581,.F.); +#14592=ORIENTED_EDGE('',*,*,#10582,.F.); +#14593=ORIENTED_EDGE('',*,*,#10553,.F.); +#14594=ORIENTED_EDGE('',*,*,#10552,.T.); +#14595=ORIENTED_EDGE('',*,*,#10562,.F.); +#14596=ORIENTED_EDGE('',*,*,#10554,.T.); +#14597=ORIENTED_EDGE('',*,*,#10583,.T.); +#14598=ORIENTED_EDGE('',*,*,#10584,.F.); +#14599=ORIENTED_EDGE('',*,*,#10564,.F.); +#14600=ORIENTED_EDGE('',*,*,#10563,.F.); +#14601=ORIENTED_EDGE('',*,*,#10585,.T.); +#14602=ORIENTED_EDGE('',*,*,#10565,.T.); +#14603=ORIENTED_EDGE('',*,*,#10586,.T.); +#14604=ORIENTED_EDGE('',*,*,#10556,.F.); +#14605=ORIENTED_EDGE('',*,*,#10585,.F.); +#14606=ORIENTED_EDGE('',*,*,#10576,.F.); +#14607=ORIENTED_EDGE('',*,*,#10587,.F.); +#14608=ORIENTED_EDGE('',*,*,#10569,.T.); +#14609=ORIENTED_EDGE('',*,*,#10571,.T.); +#14610=ORIENTED_EDGE('',*,*,#10570,.T.); +#14611=ORIENTED_EDGE('',*,*,#10588,.T.); +#14612=ORIENTED_EDGE('',*,*,#10572,.F.); +#14613=ORIENTED_EDGE('',*,*,#10573,.T.); +#14614=ORIENTED_EDGE('',*,*,#10589,.T.); +#14615=ORIENTED_EDGE('',*,*,#10575,.F.); +#14616=ORIENTED_EDGE('',*,*,#10574,.F.); +#14617=ORIENTED_EDGE('',*,*,#10586,.F.); +#14618=ORIENTED_EDGE('',*,*,#10584,.T.); +#14619=ORIENTED_EDGE('',*,*,#10590,.T.); +#14620=ORIENTED_EDGE('',*,*,#10577,.F.); +#14621=ORIENTED_EDGE('',*,*,#10580,.T.); +#14622=ORIENTED_EDGE('',*,*,#10579,.T.); +#14623=ORIENTED_EDGE('',*,*,#10578,.F.); +#14624=ORIENTED_EDGE('',*,*,#10591,.F.); +#14625=ORIENTED_EDGE('',*,*,#10582,.T.); +#14626=ORIENTED_EDGE('',*,*,#10581,.T.); +#14627=ORIENTED_EDGE('',*,*,#10592,.T.); +#14628=ORIENTED_EDGE('',*,*,#10583,.F.); +#14629=ORIENTED_EDGE('',*,*,#10589,.F.); +#14630=ORIENTED_EDGE('',*,*,#10588,.F.); +#14631=ORIENTED_EDGE('',*,*,#10587,.T.); +#14632=ORIENTED_EDGE('',*,*,#10591,.T.); +#14633=ORIENTED_EDGE('',*,*,#10590,.F.); +#14634=ORIENTED_EDGE('',*,*,#10592,.F.); +#14635=ORIENTED_EDGE('',*,*,#10593,.T.); +#14636=ORIENTED_EDGE('',*,*,#10594,.F.); +#14637=ORIENTED_EDGE('',*,*,#10595,.F.); +#14638=ORIENTED_EDGE('',*,*,#10596,.T.); +#14639=ORIENTED_EDGE('',*,*,#10593,.F.); +#14640=ORIENTED_EDGE('',*,*,#10597,.T.); +#14641=ORIENTED_EDGE('',*,*,#10598,.T.); +#14642=ORIENTED_EDGE('',*,*,#10599,.F.); +#14643=ORIENTED_EDGE('',*,*,#10595,.T.); +#14644=ORIENTED_EDGE('',*,*,#10600,.F.); +#14645=ORIENTED_EDGE('',*,*,#10601,.F.); +#14646=ORIENTED_EDGE('',*,*,#10602,.T.); +#14647=ORIENTED_EDGE('',*,*,#10603,.F.); +#14648=ORIENTED_EDGE('',*,*,#10594,.T.); +#14649=ORIENTED_EDGE('',*,*,#10604,.T.); +#14650=ORIENTED_EDGE('',*,*,#10605,.T.); +#14651=ORIENTED_EDGE('',*,*,#10606,.T.); +#14652=ORIENTED_EDGE('',*,*,#10607,.F.); +#14653=ORIENTED_EDGE('',*,*,#10608,.F.); +#14654=ORIENTED_EDGE('',*,*,#10609,.F.); +#14655=ORIENTED_EDGE('',*,*,#10610,.T.); +#14656=ORIENTED_EDGE('',*,*,#10611,.T.); +#14657=ORIENTED_EDGE('',*,*,#10612,.T.); +#14658=ORIENTED_EDGE('',*,*,#10596,.F.); +#14659=ORIENTED_EDGE('',*,*,#10613,.T.); +#14660=ORIENTED_EDGE('',*,*,#10614,.T.); +#14661=ORIENTED_EDGE('',*,*,#10615,.T.); +#14662=ORIENTED_EDGE('',*,*,#10616,.F.); +#14663=ORIENTED_EDGE('',*,*,#10610,.F.); +#14664=ORIENTED_EDGE('',*,*,#10617,.F.); +#14665=ORIENTED_EDGE('',*,*,#10618,.F.); +#14666=ORIENTED_EDGE('',*,*,#10597,.F.); +#14667=ORIENTED_EDGE('',*,*,#10612,.F.); +#14668=ORIENTED_EDGE('',*,*,#10611,.F.); +#14669=ORIENTED_EDGE('',*,*,#10598,.F.); +#14670=ORIENTED_EDGE('',*,*,#10619,.F.); +#14671=ORIENTED_EDGE('',*,*,#10620,.T.); +#14672=ORIENTED_EDGE('',*,*,#10604,.F.); +#14673=ORIENTED_EDGE('',*,*,#10599,.T.); +#14674=ORIENTED_EDGE('',*,*,#10621,.T.); +#14675=ORIENTED_EDGE('',*,*,#10622,.F.); +#14676=ORIENTED_EDGE('',*,*,#10606,.F.); +#14677=ORIENTED_EDGE('',*,*,#10605,.F.); +#14678=ORIENTED_EDGE('',*,*,#10603,.T.); +#14679=ORIENTED_EDGE('',*,*,#10609,.T.); +#14680=ORIENTED_EDGE('',*,*,#10608,.T.); +#14681=ORIENTED_EDGE('',*,*,#10623,.F.); +#14682=ORIENTED_EDGE('',*,*,#10624,.F.); +#14683=ORIENTED_EDGE('',*,*,#10600,.T.); +#14684=ORIENTED_EDGE('',*,*,#10625,.F.); +#14685=ORIENTED_EDGE('',*,*,#10601,.T.); +#14686=ORIENTED_EDGE('',*,*,#10626,.F.); +#14687=ORIENTED_EDGE('',*,*,#10613,.F.); +#14688=ORIENTED_EDGE('',*,*,#10602,.F.); +#14689=ORIENTED_EDGE('',*,*,#10627,.F.); +#14690=ORIENTED_EDGE('',*,*,#10628,.F.); +#14691=ORIENTED_EDGE('',*,*,#10615,.F.); +#14692=ORIENTED_EDGE('',*,*,#10614,.F.); +#14693=ORIENTED_EDGE('',*,*,#10629,.T.); +#14694=ORIENTED_EDGE('',*,*,#10607,.T.); +#14695=ORIENTED_EDGE('',*,*,#10630,.F.); +#14696=ORIENTED_EDGE('',*,*,#10631,.F.); +#14697=ORIENTED_EDGE('',*,*,#10632,.F.); +#14698=ORIENTED_EDGE('',*,*,#10616,.T.); +#14699=ORIENTED_EDGE('',*,*,#10633,.T.); +#14700=ORIENTED_EDGE('',*,*,#10634,.F.); +#14701=ORIENTED_EDGE('',*,*,#10632,.T.); +#14702=ORIENTED_EDGE('',*,*,#10635,.F.); +#14703=ORIENTED_EDGE('',*,*,#10636,.F.); +#14704=ORIENTED_EDGE('',*,*,#10617,.T.); +#14705=ORIENTED_EDGE('',*,*,#10637,.T.); +#14706=ORIENTED_EDGE('',*,*,#10638,.F.); +#14707=ORIENTED_EDGE('',*,*,#10619,.T.); +#14708=ORIENTED_EDGE('',*,*,#10618,.T.); +#14709=ORIENTED_EDGE('',*,*,#10621,.F.); +#14710=ORIENTED_EDGE('',*,*,#10620,.F.); +#14711=ORIENTED_EDGE('',*,*,#10639,.T.); +#14712=ORIENTED_EDGE('',*,*,#10640,.T.); +#14713=ORIENTED_EDGE('',*,*,#10630,.T.); +#14714=ORIENTED_EDGE('',*,*,#10622,.T.); +#14715=ORIENTED_EDGE('',*,*,#10641,.F.); +#14716=ORIENTED_EDGE('',*,*,#10642,.F.); +#14717=ORIENTED_EDGE('',*,*,#10629,.F.); +#14718=ORIENTED_EDGE('',*,*,#10643,.F.); +#14719=ORIENTED_EDGE('',*,*,#10644,.T.); +#14720=ORIENTED_EDGE('',*,*,#10623,.T.); +#14721=ORIENTED_EDGE('',*,*,#10645,.F.); +#14722=ORIENTED_EDGE('',*,*,#10626,.T.); +#14723=ORIENTED_EDGE('',*,*,#10624,.T.); +#14724=ORIENTED_EDGE('',*,*,#10646,.F.); +#14725=ORIENTED_EDGE('',*,*,#10647,.T.); +#14726=ORIENTED_EDGE('',*,*,#10627,.T.); +#14727=ORIENTED_EDGE('',*,*,#10625,.T.); +#14728=ORIENTED_EDGE('',*,*,#10648,.F.); +#14729=ORIENTED_EDGE('',*,*,#10633,.F.); +#14730=ORIENTED_EDGE('',*,*,#10628,.T.); +#14731=ORIENTED_EDGE('',*,*,#10649,.T.); +#14732=ORIENTED_EDGE('',*,*,#10650,.F.); +#14733=ORIENTED_EDGE('',*,*,#10651,.F.); +#14734=ORIENTED_EDGE('',*,*,#10652,.T.); +#14735=ORIENTED_EDGE('',*,*,#10653,.F.); +#14736=ORIENTED_EDGE('',*,*,#10654,.F.); +#14737=ORIENTED_EDGE('',*,*,#10655,.F.); +#14738=ORIENTED_EDGE('',*,*,#10631,.T.); +#14739=ORIENTED_EDGE('',*,*,#10656,.F.); +#14740=ORIENTED_EDGE('',*,*,#10657,.F.); +#14741=ORIENTED_EDGE('',*,*,#10658,.T.); +#14742=ORIENTED_EDGE('',*,*,#10659,.T.); +#14743=ORIENTED_EDGE('',*,*,#10660,.T.); +#14744=ORIENTED_EDGE('',*,*,#10661,.F.); +#14745=ORIENTED_EDGE('',*,*,#10662,.F.); +#14746=ORIENTED_EDGE('',*,*,#10663,.F.); +#14747=ORIENTED_EDGE('',*,*,#10664,.F.); +#14748=ORIENTED_EDGE('',*,*,#10634,.T.); +#14749=ORIENTED_EDGE('',*,*,#10664,.T.); +#14750=ORIENTED_EDGE('',*,*,#10663,.T.); +#14751=ORIENTED_EDGE('',*,*,#10662,.T.); +#14752=ORIENTED_EDGE('',*,*,#10665,.F.); +#14753=ORIENTED_EDGE('',*,*,#10666,.F.); +#14754=ORIENTED_EDGE('',*,*,#10635,.T.); +#14755=ORIENTED_EDGE('',*,*,#10667,.F.); +#14756=ORIENTED_EDGE('',*,*,#10637,.F.); +#14757=ORIENTED_EDGE('',*,*,#10636,.T.); +#14758=ORIENTED_EDGE('',*,*,#10668,.T.); +#14759=ORIENTED_EDGE('',*,*,#10669,.F.); +#14760=ORIENTED_EDGE('',*,*,#10639,.F.); +#14761=ORIENTED_EDGE('',*,*,#10638,.T.); +#14762=ORIENTED_EDGE('',*,*,#10641,.T.); +#14763=ORIENTED_EDGE('',*,*,#10640,.F.); +#14764=ORIENTED_EDGE('',*,*,#10670,.F.); +#14765=ORIENTED_EDGE('',*,*,#10651,.T.); +#14766=ORIENTED_EDGE('',*,*,#10657,.T.); +#14767=ORIENTED_EDGE('',*,*,#10656,.T.); +#14768=ORIENTED_EDGE('',*,*,#10642,.T.); +#14769=ORIENTED_EDGE('',*,*,#10671,.T.); +#14770=ORIENTED_EDGE('',*,*,#10672,.T.); +#14771=ORIENTED_EDGE('',*,*,#10655,.T.); +#14772=ORIENTED_EDGE('',*,*,#10654,.T.); +#14773=ORIENTED_EDGE('',*,*,#10653,.T.); +#14774=ORIENTED_EDGE('',*,*,#10673,.T.); +#14775=ORIENTED_EDGE('',*,*,#10674,.T.); +#14776=ORIENTED_EDGE('',*,*,#10643,.T.); +#14777=ORIENTED_EDGE('',*,*,#10646,.T.); +#14778=ORIENTED_EDGE('',*,*,#10644,.F.); +#14779=ORIENTED_EDGE('',*,*,#10675,.F.); +#14780=ORIENTED_EDGE('',*,*,#10676,.F.); +#14781=ORIENTED_EDGE('',*,*,#10648,.T.); +#14782=ORIENTED_EDGE('',*,*,#10645,.T.); +#14783=ORIENTED_EDGE('',*,*,#10677,.F.); +#14784=ORIENTED_EDGE('',*,*,#10678,.T.); +#14785=ORIENTED_EDGE('',*,*,#10649,.F.); +#14786=ORIENTED_EDGE('',*,*,#10647,.F.); +#14787=ORIENTED_EDGE('',*,*,#10658,.F.); +#14788=ORIENTED_EDGE('',*,*,#10650,.T.); +#14789=ORIENTED_EDGE('',*,*,#10679,.T.); +#14790=ORIENTED_EDGE('',*,*,#10680,.F.); +#14791=ORIENTED_EDGE('',*,*,#10660,.F.); +#14792=ORIENTED_EDGE('',*,*,#10659,.F.); +#14793=ORIENTED_EDGE('',*,*,#10681,.T.); +#14794=ORIENTED_EDGE('',*,*,#10661,.T.); +#14795=ORIENTED_EDGE('',*,*,#10682,.T.); +#14796=ORIENTED_EDGE('',*,*,#10652,.F.); +#14797=ORIENTED_EDGE('',*,*,#10681,.F.); +#14798=ORIENTED_EDGE('',*,*,#10672,.F.); +#14799=ORIENTED_EDGE('',*,*,#10683,.T.); +#14800=ORIENTED_EDGE('',*,*,#10665,.T.); +#14801=ORIENTED_EDGE('',*,*,#10668,.F.); +#14802=ORIENTED_EDGE('',*,*,#10667,.T.); +#14803=ORIENTED_EDGE('',*,*,#10666,.T.); +#14804=ORIENTED_EDGE('',*,*,#10684,.F.); +#14805=ORIENTED_EDGE('',*,*,#10685,.T.); +#14806=ORIENTED_EDGE('',*,*,#10671,.F.); +#14807=ORIENTED_EDGE('',*,*,#10670,.T.); +#14808=ORIENTED_EDGE('',*,*,#10669,.T.); +#14809=ORIENTED_EDGE('',*,*,#10682,.F.); +#14810=ORIENTED_EDGE('',*,*,#10680,.T.); +#14811=ORIENTED_EDGE('',*,*,#10686,.F.); +#14812=ORIENTED_EDGE('',*,*,#10673,.F.); +#14813=ORIENTED_EDGE('',*,*,#10687,.T.); +#14814=ORIENTED_EDGE('',*,*,#10677,.T.); +#14815=ORIENTED_EDGE('',*,*,#10675,.T.); +#14816=ORIENTED_EDGE('',*,*,#10674,.F.); +#14817=ORIENTED_EDGE('',*,*,#10679,.F.); +#14818=ORIENTED_EDGE('',*,*,#10678,.F.); +#14819=ORIENTED_EDGE('',*,*,#10676,.T.); +#14820=ORIENTED_EDGE('',*,*,#10688,.T.); +#14821=ORIENTED_EDGE('',*,*,#10685,.F.); +#14822=ORIENTED_EDGE('',*,*,#10684,.T.); +#14823=ORIENTED_EDGE('',*,*,#10683,.F.); +#14824=ORIENTED_EDGE('',*,*,#10687,.F.); +#14825=ORIENTED_EDGE('',*,*,#10686,.T.); +#14826=ORIENTED_EDGE('',*,*,#10688,.F.); +#14827=ORIENTED_EDGE('',*,*,#10689,.T.); +#14828=ORIENTED_EDGE('',*,*,#10690,.T.); +#14829=ORIENTED_EDGE('',*,*,#10691,.F.); +#14830=ORIENTED_EDGE('',*,*,#10692,.T.); +#14831=ORIENTED_EDGE('',*,*,#10693,.F.); +#14832=ORIENTED_EDGE('',*,*,#10694,.T.); +#14833=ORIENTED_EDGE('',*,*,#10695,.T.); +#14834=ORIENTED_EDGE('',*,*,#10696,.F.); +#14835=ORIENTED_EDGE('',*,*,#10697,.T.); +#14836=ORIENTED_EDGE('',*,*,#10698,.T.); +#14837=ORIENTED_EDGE('',*,*,#10699,.T.); +#14838=ORIENTED_EDGE('',*,*,#10700,.F.); +#14839=ORIENTED_EDGE('',*,*,#10701,.F.); +#14840=ORIENTED_EDGE('',*,*,#10702,.T.); +#14841=ORIENTED_EDGE('',*,*,#10703,.T.); +#14842=ORIENTED_EDGE('',*,*,#10704,.F.); +#14843=ORIENTED_EDGE('',*,*,#10705,.T.); +#14844=ORIENTED_EDGE('',*,*,#10706,.T.); +#14845=ORIENTED_EDGE('',*,*,#10707,.F.); +#14846=ORIENTED_EDGE('',*,*,#10708,.T.); +#14847=ORIENTED_EDGE('',*,*,#10709,.F.); +#14848=ORIENTED_EDGE('',*,*,#10710,.T.); +#14849=ORIENTED_EDGE('',*,*,#10711,.T.); +#14850=ORIENTED_EDGE('',*,*,#10712,.F.); +#14851=ORIENTED_EDGE('',*,*,#10713,.T.); +#14852=ORIENTED_EDGE('',*,*,#10714,.T.); +#14853=ORIENTED_EDGE('',*,*,#10715,.T.); +#14854=ORIENTED_EDGE('',*,*,#10716,.F.); +#14855=ORIENTED_EDGE('',*,*,#10717,.T.); +#14856=ORIENTED_EDGE('',*,*,#10718,.T.); +#14857=ORIENTED_EDGE('',*,*,#10719,.T.); +#14858=ORIENTED_EDGE('',*,*,#10720,.T.); +#14859=ORIENTED_EDGE('',*,*,#10691,.T.); +#14860=ORIENTED_EDGE('',*,*,#10721,.T.); +#14861=ORIENTED_EDGE('',*,*,#10722,.T.); +#14862=ORIENTED_EDGE('',*,*,#10723,.T.); +#14863=ORIENTED_EDGE('',*,*,#10724,.F.); +#14864=ORIENTED_EDGE('',*,*,#10725,.T.); +#14865=ORIENTED_EDGE('',*,*,#10716,.T.); +#14866=ORIENTED_EDGE('',*,*,#10726,.T.); +#14867=ORIENTED_EDGE('',*,*,#10727,.T.); +#14868=ORIENTED_EDGE('',*,*,#10728,.T.); +#14869=ORIENTED_EDGE('',*,*,#10712,.T.); +#14870=ORIENTED_EDGE('',*,*,#10729,.F.); +#14871=ORIENTED_EDGE('',*,*,#10730,.T.); +#14872=ORIENTED_EDGE('',*,*,#10731,.T.); +#14873=ORIENTED_EDGE('',*,*,#10707,.T.); +#14874=ORIENTED_EDGE('',*,*,#10732,.T.); +#14875=ORIENTED_EDGE('',*,*,#10733,.F.); +#14876=ORIENTED_EDGE('',*,*,#10734,.T.); +#14877=ORIENTED_EDGE('',*,*,#10704,.T.); +#14878=ORIENTED_EDGE('',*,*,#10735,.F.); +#14879=ORIENTED_EDGE('',*,*,#10736,.F.); +#14880=ORIENTED_EDGE('',*,*,#10737,.T.); +#14881=ORIENTED_EDGE('',*,*,#10700,.T.); +#14882=ORIENTED_EDGE('',*,*,#10738,.T.); +#14883=ORIENTED_EDGE('',*,*,#10739,.T.); +#14884=ORIENTED_EDGE('',*,*,#10740,.T.); +#14885=ORIENTED_EDGE('',*,*,#10696,.T.); +#14886=ORIENTED_EDGE('',*,*,#10741,.F.); +#14887=ORIENTED_EDGE('',*,*,#10742,.T.); +#14888=ORIENTED_EDGE('',*,*,#10743,.T.); +#14889=ORIENTED_EDGE('',*,*,#10744,.T.); +#14890=ORIENTED_EDGE('',*,*,#10745,.T.); +#14891=ORIENTED_EDGE('',*,*,#10746,.T.); +#14892=ORIENTED_EDGE('',*,*,#10747,.F.); +#14893=ORIENTED_EDGE('',*,*,#10748,.F.); +#14894=ORIENTED_EDGE('',*,*,#10749,.T.); +#14895=ORIENTED_EDGE('',*,*,#10750,.T.); +#14896=ORIENTED_EDGE('',*,*,#10751,.T.); +#14897=ORIENTED_EDGE('',*,*,#10752,.F.); +#14898=ORIENTED_EDGE('',*,*,#10747,.T.); +#14899=ORIENTED_EDGE('',*,*,#10753,.T.); +#14900=ORIENTED_EDGE('',*,*,#10754,.T.); +#14901=ORIENTED_EDGE('',*,*,#10755,.F.); +#14902=ORIENTED_EDGE('',*,*,#10756,.T.); +#14903=ORIENTED_EDGE('',*,*,#10757,.T.); +#14904=ORIENTED_EDGE('',*,*,#10758,.T.); +#14905=ORIENTED_EDGE('',*,*,#10759,.F.); +#14906=ORIENTED_EDGE('',*,*,#10736,.T.); +#14907=ORIENTED_EDGE('',*,*,#10760,.T.); +#14908=ORIENTED_EDGE('',*,*,#10761,.T.); +#14909=ORIENTED_EDGE('',*,*,#10762,.F.); +#14910=ORIENTED_EDGE('',*,*,#10763,.T.); +#14911=ORIENTED_EDGE('',*,*,#10764,.F.); +#14912=ORIENTED_EDGE('',*,*,#10765,.T.); +#14913=ORIENTED_EDGE('',*,*,#10766,.T.); +#14914=ORIENTED_EDGE('',*,*,#10749,.F.); +#14915=ORIENTED_EDGE('',*,*,#10752,.T.); +#14916=ORIENTED_EDGE('',*,*,#10767,.T.); +#14917=ORIENTED_EDGE('',*,*,#10755,.T.); +#14918=ORIENTED_EDGE('',*,*,#10768,.T.); +#14919=ORIENTED_EDGE('',*,*,#10701,.T.); +#14920=ORIENTED_EDGE('',*,*,#10737,.F.); +#14921=ORIENTED_EDGE('',*,*,#10759,.T.); +#14922=ORIENTED_EDGE('',*,*,#10769,.T.); +#14923=ORIENTED_EDGE('',*,*,#10762,.T.); +#14924=ORIENTED_EDGE('',*,*,#10770,.T.); +#14925=ORIENTED_EDGE('',*,*,#10764,.T.); +#14926=ORIENTED_EDGE('',*,*,#10748,.T.); +#14927=ORIENTED_EDGE('',*,*,#10753,.F.); +#14928=ORIENTED_EDGE('',*,*,#10771,.T.); +#14929=ORIENTED_EDGE('',*,*,#10750,.F.); +#14930=ORIENTED_EDGE('',*,*,#10746,.F.); +#14931=ORIENTED_EDGE('',*,*,#10766,.F.); +#14932=ORIENTED_EDGE('',*,*,#10772,.T.); +#14933=ORIENTED_EDGE('',*,*,#10760,.F.); +#14934=ORIENTED_EDGE('',*,*,#10773,.T.); +#14935=ORIENTED_EDGE('',*,*,#10757,.F.); +#14936=ORIENTED_EDGE('',*,*,#10735,.T.); +#14937=ORIENTED_EDGE('',*,*,#10703,.F.); +#14938=ORIENTED_EDGE('',*,*,#10774,.T.); +#14939=ORIENTED_EDGE('',*,*,#10775,.F.); +#14940=ORIENTED_EDGE('',*,*,#10743,.F.); +#14941=ORIENTED_EDGE('',*,*,#10776,.F.); +#14942=ORIENTED_EDGE('',*,*,#10739,.F.); +#14943=ORIENTED_EDGE('',*,*,#10777,.F.); +#14944=ORIENTED_EDGE('',*,*,#10775,.T.); +#14945=ORIENTED_EDGE('',*,*,#10778,.F.); +#14946=ORIENTED_EDGE('',*,*,#10779,.F.); +#14947=ORIENTED_EDGE('',*,*,#10776,.T.); +#14948=ORIENTED_EDGE('',*,*,#10780,.F.); +#14949=ORIENTED_EDGE('',*,*,#10778,.T.); +#14950=ORIENTED_EDGE('',*,*,#10738,.F.); +#14951=ORIENTED_EDGE('',*,*,#10781,.F.); +#14952=ORIENTED_EDGE('',*,*,#10723,.F.); +#14953=ORIENTED_EDGE('',*,*,#10777,.T.); +#14954=ORIENTED_EDGE('',*,*,#10722,.F.); +#14955=ORIENTED_EDGE('',*,*,#10782,.F.); +#14956=ORIENTED_EDGE('',*,*,#10744,.F.); +#14957=ORIENTED_EDGE('',*,*,#10783,.F.); +#14958=ORIENTED_EDGE('',*,*,#10745,.F.); +#14959=ORIENTED_EDGE('',*,*,#10784,.F.); +#14960=ORIENTED_EDGE('',*,*,#10727,.F.); +#14961=ORIENTED_EDGE('',*,*,#10780,.T.); +#14962=ORIENTED_EDGE('',*,*,#10742,.F.); +#14963=ORIENTED_EDGE('',*,*,#10785,.F.); +#14964=ORIENTED_EDGE('',*,*,#10730,.F.); +#14965=ORIENTED_EDGE('',*,*,#10779,.T.); +#14966=ORIENTED_EDGE('',*,*,#10732,.F.); +#14967=ORIENTED_EDGE('',*,*,#10786,.F.); +#14968=ORIENTED_EDGE('',*,*,#10740,.F.); +#14969=ORIENTED_EDGE('',*,*,#10781,.T.); +#14970=ORIENTED_EDGE('',*,*,#10699,.F.); +#14971=ORIENTED_EDGE('',*,*,#10787,.F.); +#14972=ORIENTED_EDGE('',*,*,#10692,.F.); +#14973=ORIENTED_EDGE('',*,*,#10784,.T.); +#14974=ORIENTED_EDGE('',*,*,#10782,.T.); +#14975=ORIENTED_EDGE('',*,*,#10788,.F.); +#14976=ORIENTED_EDGE('',*,*,#10785,.T.); +#14977=ORIENTED_EDGE('',*,*,#10783,.T.); +#14978=ORIENTED_EDGE('',*,*,#10789,.F.); +#14979=ORIENTED_EDGE('',*,*,#10786,.T.); +#14980=ORIENTED_EDGE('',*,*,#10706,.F.); +#14981=ORIENTED_EDGE('',*,*,#10790,.F.); +#14982=ORIENTED_EDGE('',*,*,#10697,.F.); +#14983=ORIENTED_EDGE('',*,*,#10791,.F.); +#14984=ORIENTED_EDGE('',*,*,#10698,.F.); +#14985=ORIENTED_EDGE('',*,*,#10792,.F.); +#14986=ORIENTED_EDGE('',*,*,#10720,.F.); +#14987=ORIENTED_EDGE('',*,*,#10787,.T.); +#14988=ORIENTED_EDGE('',*,*,#10791,.T.); +#14989=ORIENTED_EDGE('',*,*,#10793,.F.); +#14990=ORIENTED_EDGE('',*,*,#10788,.T.); +#14991=ORIENTED_EDGE('',*,*,#10721,.F.); +#14992=ORIENTED_EDGE('',*,*,#10794,.F.); +#14993=ORIENTED_EDGE('',*,*,#10728,.F.); +#14994=ORIENTED_EDGE('',*,*,#10789,.T.); +#14995=ORIENTED_EDGE('',*,*,#10726,.F.); +#14996=ORIENTED_EDGE('',*,*,#10795,.F.); +#14997=ORIENTED_EDGE('',*,*,#10731,.F.); +#14998=ORIENTED_EDGE('',*,*,#10792,.T.); +#14999=ORIENTED_EDGE('',*,*,#10790,.T.); +#15000=ORIENTED_EDGE('',*,*,#10796,.F.); +#15001=ORIENTED_EDGE('',*,*,#10793,.T.); +#15002=ORIENTED_EDGE('',*,*,#10719,.F.); +#15003=ORIENTED_EDGE('',*,*,#10797,.F.); +#15004=ORIENTED_EDGE('',*,*,#10689,.F.); +#15005=ORIENTED_EDGE('',*,*,#10794,.T.); +#15006=ORIENTED_EDGE('',*,*,#10690,.F.); +#15007=ORIENTED_EDGE('',*,*,#10798,.F.); +#15008=ORIENTED_EDGE('',*,*,#10713,.F.); +#15009=ORIENTED_EDGE('',*,*,#10795,.T.); +#15010=ORIENTED_EDGE('',*,*,#10715,.F.); +#15011=ORIENTED_EDGE('',*,*,#10799,.F.); +#15012=ORIENTED_EDGE('',*,*,#10708,.F.); +#15013=ORIENTED_EDGE('',*,*,#10796,.T.); +#15014=ORIENTED_EDGE('',*,*,#10705,.F.); +#15015=ORIENTED_EDGE('',*,*,#10800,.F.); +#15016=ORIENTED_EDGE('',*,*,#10717,.F.); +#15017=ORIENTED_EDGE('',*,*,#10798,.T.); +#15018=ORIENTED_EDGE('',*,*,#10797,.T.); +#15019=ORIENTED_EDGE('',*,*,#10801,.F.); +#15020=ORIENTED_EDGE('',*,*,#10800,.T.); +#15021=ORIENTED_EDGE('',*,*,#10799,.T.); +#15022=ORIENTED_EDGE('',*,*,#10802,.F.); +#15023=ORIENTED_EDGE('',*,*,#10801,.T.); +#15024=ORIENTED_EDGE('',*,*,#10718,.F.); +#15025=ORIENTED_EDGE('',*,*,#10802,.T.); +#15026=ORIENTED_EDGE('',*,*,#10714,.F.); +#15027=ORIENTED_EDGE('',*,*,#10768,.F.); +#15028=ORIENTED_EDGE('',*,*,#10754,.F.); +#15029=ORIENTED_EDGE('',*,*,#10774,.F.); +#15030=ORIENTED_EDGE('',*,*,#10702,.F.); +#15031=ORIENTED_EDGE('',*,*,#10767,.F.); +#15032=ORIENTED_EDGE('',*,*,#10751,.F.); +#15033=ORIENTED_EDGE('',*,*,#10771,.F.); +#15034=ORIENTED_EDGE('',*,*,#10756,.F.); +#15035=ORIENTED_EDGE('',*,*,#10770,.F.); +#15036=ORIENTED_EDGE('',*,*,#10761,.F.); +#15037=ORIENTED_EDGE('',*,*,#10772,.F.); +#15038=ORIENTED_EDGE('',*,*,#10765,.F.); +#15039=ORIENTED_EDGE('',*,*,#10769,.F.); +#15040=ORIENTED_EDGE('',*,*,#10758,.F.); +#15041=ORIENTED_EDGE('',*,*,#10773,.F.); +#15042=ORIENTED_EDGE('',*,*,#10763,.F.); +#15043=ORIENTED_EDGE('',*,*,#10803,.T.); +#15044=ORIENTED_EDGE('',*,*,#10804,.F.); +#15045=ORIENTED_EDGE('',*,*,#10805,.F.); +#15046=ORIENTED_EDGE('',*,*,#10806,.T.); +#15047=ORIENTED_EDGE('',*,*,#10807,.T.); +#15048=ORIENTED_EDGE('',*,*,#10808,.T.); +#15049=ORIENTED_EDGE('',*,*,#10809,.F.); +#15050=ORIENTED_EDGE('',*,*,#10804,.T.); +#15051=ORIENTED_EDGE('',*,*,#10810,.T.); +#15052=ORIENTED_EDGE('',*,*,#10811,.T.); +#15053=ORIENTED_EDGE('',*,*,#10812,.F.); +#15054=ORIENTED_EDGE('',*,*,#10813,.T.); +#15055=ORIENTED_EDGE('',*,*,#10814,.T.); +#15056=ORIENTED_EDGE('',*,*,#10815,.T.); +#15057=ORIENTED_EDGE('',*,*,#10816,.F.); +#15058=ORIENTED_EDGE('',*,*,#10733,.T.); +#15059=ORIENTED_EDGE('',*,*,#10817,.T.); +#15060=ORIENTED_EDGE('',*,*,#10818,.T.); +#15061=ORIENTED_EDGE('',*,*,#10819,.F.); +#15062=ORIENTED_EDGE('',*,*,#10820,.T.); +#15063=ORIENTED_EDGE('',*,*,#10821,.F.); +#15064=ORIENTED_EDGE('',*,*,#10822,.T.); +#15065=ORIENTED_EDGE('',*,*,#10823,.T.); +#15066=ORIENTED_EDGE('',*,*,#10806,.F.); +#15067=ORIENTED_EDGE('',*,*,#10809,.T.); +#15068=ORIENTED_EDGE('',*,*,#10824,.T.); +#15069=ORIENTED_EDGE('',*,*,#10812,.T.); +#15070=ORIENTED_EDGE('',*,*,#10825,.T.); +#15071=ORIENTED_EDGE('',*,*,#10693,.T.); +#15072=ORIENTED_EDGE('',*,*,#10734,.F.); +#15073=ORIENTED_EDGE('',*,*,#10816,.T.); +#15074=ORIENTED_EDGE('',*,*,#10826,.T.); +#15075=ORIENTED_EDGE('',*,*,#10819,.T.); +#15076=ORIENTED_EDGE('',*,*,#10827,.T.); +#15077=ORIENTED_EDGE('',*,*,#10821,.T.); +#15078=ORIENTED_EDGE('',*,*,#10805,.T.); +#15079=ORIENTED_EDGE('',*,*,#10810,.F.); +#15080=ORIENTED_EDGE('',*,*,#10828,.T.); +#15081=ORIENTED_EDGE('',*,*,#10807,.F.); +#15082=ORIENTED_EDGE('',*,*,#10803,.F.); +#15083=ORIENTED_EDGE('',*,*,#10823,.F.); +#15084=ORIENTED_EDGE('',*,*,#10829,.T.); +#15085=ORIENTED_EDGE('',*,*,#10817,.F.); +#15086=ORIENTED_EDGE('',*,*,#10830,.T.); +#15087=ORIENTED_EDGE('',*,*,#10814,.F.); +#15088=ORIENTED_EDGE('',*,*,#10741,.T.); +#15089=ORIENTED_EDGE('',*,*,#10695,.F.); +#15090=ORIENTED_EDGE('',*,*,#10831,.T.); +#15091=ORIENTED_EDGE('',*,*,#10825,.F.); +#15092=ORIENTED_EDGE('',*,*,#10811,.F.); +#15093=ORIENTED_EDGE('',*,*,#10831,.F.); +#15094=ORIENTED_EDGE('',*,*,#10694,.F.); +#15095=ORIENTED_EDGE('',*,*,#10824,.F.); +#15096=ORIENTED_EDGE('',*,*,#10808,.F.); +#15097=ORIENTED_EDGE('',*,*,#10828,.F.); +#15098=ORIENTED_EDGE('',*,*,#10813,.F.); +#15099=ORIENTED_EDGE('',*,*,#10827,.F.); +#15100=ORIENTED_EDGE('',*,*,#10818,.F.); +#15101=ORIENTED_EDGE('',*,*,#10829,.F.); +#15102=ORIENTED_EDGE('',*,*,#10822,.F.); +#15103=ORIENTED_EDGE('',*,*,#10826,.F.); +#15104=ORIENTED_EDGE('',*,*,#10815,.F.); +#15105=ORIENTED_EDGE('',*,*,#10830,.F.); +#15106=ORIENTED_EDGE('',*,*,#10820,.F.); +#15107=ORIENTED_EDGE('',*,*,#10832,.T.); +#15108=ORIENTED_EDGE('',*,*,#10833,.F.); +#15109=ORIENTED_EDGE('',*,*,#10834,.F.); +#15110=ORIENTED_EDGE('',*,*,#10835,.T.); +#15111=ORIENTED_EDGE('',*,*,#10836,.T.); +#15112=ORIENTED_EDGE('',*,*,#10837,.T.); +#15113=ORIENTED_EDGE('',*,*,#10838,.F.); +#15114=ORIENTED_EDGE('',*,*,#10833,.T.); +#15115=ORIENTED_EDGE('',*,*,#10839,.T.); +#15116=ORIENTED_EDGE('',*,*,#10840,.T.); +#15117=ORIENTED_EDGE('',*,*,#10841,.F.); +#15118=ORIENTED_EDGE('',*,*,#10842,.T.); +#15119=ORIENTED_EDGE('',*,*,#10843,.T.); +#15120=ORIENTED_EDGE('',*,*,#10844,.T.); +#15121=ORIENTED_EDGE('',*,*,#10845,.F.); +#15122=ORIENTED_EDGE('',*,*,#10724,.T.); +#15123=ORIENTED_EDGE('',*,*,#10846,.T.); +#15124=ORIENTED_EDGE('',*,*,#10847,.T.); +#15125=ORIENTED_EDGE('',*,*,#10848,.F.); +#15126=ORIENTED_EDGE('',*,*,#10849,.T.); +#15127=ORIENTED_EDGE('',*,*,#10850,.F.); +#15128=ORIENTED_EDGE('',*,*,#10851,.T.); +#15129=ORIENTED_EDGE('',*,*,#10852,.T.); +#15130=ORIENTED_EDGE('',*,*,#10835,.F.); +#15131=ORIENTED_EDGE('',*,*,#10838,.T.); +#15132=ORIENTED_EDGE('',*,*,#10853,.T.); +#15133=ORIENTED_EDGE('',*,*,#10841,.T.); +#15134=ORIENTED_EDGE('',*,*,#10854,.T.); +#15135=ORIENTED_EDGE('',*,*,#10709,.T.); +#15136=ORIENTED_EDGE('',*,*,#10725,.F.); +#15137=ORIENTED_EDGE('',*,*,#10845,.T.); +#15138=ORIENTED_EDGE('',*,*,#10855,.T.); +#15139=ORIENTED_EDGE('',*,*,#10848,.T.); +#15140=ORIENTED_EDGE('',*,*,#10856,.T.); +#15141=ORIENTED_EDGE('',*,*,#10850,.T.); +#15142=ORIENTED_EDGE('',*,*,#10834,.T.); +#15143=ORIENTED_EDGE('',*,*,#10839,.F.); +#15144=ORIENTED_EDGE('',*,*,#10857,.T.); +#15145=ORIENTED_EDGE('',*,*,#10836,.F.); +#15146=ORIENTED_EDGE('',*,*,#10832,.F.); +#15147=ORIENTED_EDGE('',*,*,#10852,.F.); +#15148=ORIENTED_EDGE('',*,*,#10858,.T.); +#15149=ORIENTED_EDGE('',*,*,#10846,.F.); +#15150=ORIENTED_EDGE('',*,*,#10859,.T.); +#15151=ORIENTED_EDGE('',*,*,#10843,.F.); +#15152=ORIENTED_EDGE('',*,*,#10729,.T.); +#15153=ORIENTED_EDGE('',*,*,#10711,.F.); +#15154=ORIENTED_EDGE('',*,*,#10860,.T.); +#15155=ORIENTED_EDGE('',*,*,#10854,.F.); +#15156=ORIENTED_EDGE('',*,*,#10840,.F.); +#15157=ORIENTED_EDGE('',*,*,#10860,.F.); +#15158=ORIENTED_EDGE('',*,*,#10710,.F.); +#15159=ORIENTED_EDGE('',*,*,#10853,.F.); +#15160=ORIENTED_EDGE('',*,*,#10837,.F.); +#15161=ORIENTED_EDGE('',*,*,#10857,.F.); +#15162=ORIENTED_EDGE('',*,*,#10842,.F.); +#15163=ORIENTED_EDGE('',*,*,#10856,.F.); +#15164=ORIENTED_EDGE('',*,*,#10847,.F.); +#15165=ORIENTED_EDGE('',*,*,#10858,.F.); +#15166=ORIENTED_EDGE('',*,*,#10851,.F.); +#15167=ORIENTED_EDGE('',*,*,#10855,.F.); +#15168=ORIENTED_EDGE('',*,*,#10844,.F.); +#15169=ORIENTED_EDGE('',*,*,#10859,.F.); +#15170=ORIENTED_EDGE('',*,*,#10849,.F.); +#15171=ORIENTED_EDGE('',*,*,#10861,.F.); +#15172=ORIENTED_EDGE('',*,*,#10862,.F.); +#15173=ORIENTED_EDGE('',*,*,#10863,.F.); +#15174=ORIENTED_EDGE('',*,*,#10864,.F.); +#15175=ORIENTED_EDGE('',*,*,#10865,.F.); +#15176=ORIENTED_EDGE('',*,*,#10866,.F.); +#15177=ORIENTED_EDGE('',*,*,#10867,.F.); +#15178=ORIENTED_EDGE('',*,*,#10868,.F.); +#15179=ORIENTED_EDGE('',*,*,#10861,.T.); +#15180=ORIENTED_EDGE('',*,*,#10869,.F.); +#15181=ORIENTED_EDGE('',*,*,#10870,.F.); +#15182=ORIENTED_EDGE('',*,*,#10871,.F.); +#15183=ORIENTED_EDGE('',*,*,#10867,.T.); +#15184=ORIENTED_EDGE('',*,*,#10872,.F.); +#15185=ORIENTED_EDGE('',*,*,#10873,.T.); +#15186=ORIENTED_EDGE('',*,*,#10874,.F.); +#15187=ORIENTED_EDGE('',*,*,#10866,.T.); +#15188=ORIENTED_EDGE('',*,*,#10875,.T.); +#15189=ORIENTED_EDGE('',*,*,#10876,.T.); +#15190=ORIENTED_EDGE('',*,*,#10872,.T.); +#15191=ORIENTED_EDGE('',*,*,#10877,.T.); +#15192=ORIENTED_EDGE('',*,*,#10878,.F.); +#15193=ORIENTED_EDGE('',*,*,#10879,.T.); +#15194=ORIENTED_EDGE('',*,*,#10880,.F.); +#15195=ORIENTED_EDGE('',*,*,#10865,.T.); +#15196=ORIENTED_EDGE('',*,*,#10881,.F.); +#15197=ORIENTED_EDGE('',*,*,#10882,.F.); +#15198=ORIENTED_EDGE('',*,*,#10875,.F.); +#15199=ORIENTED_EDGE('',*,*,#10870,.T.); +#15200=ORIENTED_EDGE('',*,*,#10883,.F.); +#15201=ORIENTED_EDGE('',*,*,#10884,.F.); +#15202=ORIENTED_EDGE('',*,*,#10885,.F.); +#15203=ORIENTED_EDGE('',*,*,#10886,.T.); +#15204=ORIENTED_EDGE('',*,*,#10887,.T.); +#15205=ORIENTED_EDGE('',*,*,#10888,.T.); +#15206=ORIENTED_EDGE('',*,*,#10878,.T.); +#15207=ORIENTED_EDGE('',*,*,#10889,.T.); +#15208=ORIENTED_EDGE('',*,*,#10890,.T.); +#15209=ORIENTED_EDGE('',*,*,#10891,.T.); +#15210=ORIENTED_EDGE('',*,*,#10892,.T.); +#15211=ORIENTED_EDGE('',*,*,#10882,.T.); +#15212=ORIENTED_EDGE('',*,*,#10893,.F.); +#15213=ORIENTED_EDGE('',*,*,#10873,.F.); +#15214=ORIENTED_EDGE('',*,*,#10876,.F.); +#15215=ORIENTED_EDGE('',*,*,#10889,.F.); +#15216=ORIENTED_EDGE('',*,*,#10894,.T.); +#15217=ORIENTED_EDGE('',*,*,#10895,.T.); +#15218=ORIENTED_EDGE('',*,*,#10896,.F.); +#15219=ORIENTED_EDGE('',*,*,#10892,.F.); +#15220=ORIENTED_EDGE('',*,*,#10897,.T.); +#15221=ORIENTED_EDGE('',*,*,#10898,.T.); +#15222=ORIENTED_EDGE('',*,*,#10894,.F.); +#15223=ORIENTED_EDGE('',*,*,#10891,.F.); +#15224=ORIENTED_EDGE('',*,*,#10899,.T.); +#15225=ORIENTED_EDGE('',*,*,#10900,.T.); +#15226=ORIENTED_EDGE('',*,*,#10897,.F.); +#15227=ORIENTED_EDGE('',*,*,#10890,.F.); +#15228=ORIENTED_EDGE('',*,*,#10896,.T.); +#15229=ORIENTED_EDGE('',*,*,#10901,.T.); +#15230=ORIENTED_EDGE('',*,*,#10899,.F.); +#15231=ORIENTED_EDGE('',*,*,#10901,.F.); +#15232=ORIENTED_EDGE('',*,*,#10895,.F.); +#15233=ORIENTED_EDGE('',*,*,#10898,.F.); +#15234=ORIENTED_EDGE('',*,*,#10900,.F.); +#15235=ORIENTED_EDGE('',*,*,#10863,.T.); +#15236=ORIENTED_EDGE('',*,*,#10902,.F.); +#15237=ORIENTED_EDGE('',*,*,#10884,.T.); +#15238=ORIENTED_EDGE('',*,*,#10903,.F.); +#15239=ORIENTED_EDGE('',*,*,#10877,.F.); +#15240=ORIENTED_EDGE('',*,*,#10904,.F.); +#15241=ORIENTED_EDGE('',*,*,#10905,.F.); +#15242=ORIENTED_EDGE('',*,*,#10886,.F.); +#15243=ORIENTED_EDGE('',*,*,#10862,.T.); +#15244=ORIENTED_EDGE('',*,*,#10871,.T.); +#15245=ORIENTED_EDGE('',*,*,#10885,.T.); +#15246=ORIENTED_EDGE('',*,*,#10902,.T.); +#15247=ORIENTED_EDGE('',*,*,#10879,.F.); +#15248=ORIENTED_EDGE('',*,*,#10888,.F.); +#15249=ORIENTED_EDGE('',*,*,#10906,.F.); +#15250=ORIENTED_EDGE('',*,*,#10907,.F.); +#15251=ORIENTED_EDGE('',*,*,#10874,.T.); +#15252=ORIENTED_EDGE('',*,*,#10893,.T.); +#15253=ORIENTED_EDGE('',*,*,#10881,.T.); +#15254=ORIENTED_EDGE('',*,*,#10868,.T.); +#15255=ORIENTED_EDGE('',*,*,#10864,.T.); +#15256=ORIENTED_EDGE('',*,*,#10903,.T.); +#15257=ORIENTED_EDGE('',*,*,#10883,.T.); +#15258=ORIENTED_EDGE('',*,*,#10869,.T.); +#15259=ORIENTED_EDGE('',*,*,#10906,.T.); +#15260=ORIENTED_EDGE('',*,*,#10887,.F.); +#15261=ORIENTED_EDGE('',*,*,#10905,.T.); +#15262=ORIENTED_EDGE('',*,*,#10908,.F.); +#15263=ORIENTED_EDGE('',*,*,#10904,.T.); +#15264=ORIENTED_EDGE('',*,*,#10880,.T.); +#15265=ORIENTED_EDGE('',*,*,#10907,.T.); +#15266=ORIENTED_EDGE('',*,*,#10908,.T.); +#15267=ORIENTED_EDGE('',*,*,#10909,.F.); +#15268=ORIENTED_EDGE('',*,*,#10910,.T.); +#15269=ORIENTED_EDGE('',*,*,#10911,.T.); +#15270=ORIENTED_EDGE('',*,*,#10912,.T.); +#15271=ORIENTED_EDGE('',*,*,#10913,.F.); +#15272=ORIENTED_EDGE('',*,*,#10914,.F.); +#15273=ORIENTED_EDGE('',*,*,#10915,.T.); +#15274=ORIENTED_EDGE('',*,*,#10916,.F.); +#15275=ORIENTED_EDGE('',*,*,#10917,.F.); +#15276=ORIENTED_EDGE('',*,*,#10918,.F.); +#15277=ORIENTED_EDGE('',*,*,#10919,.T.); +#15278=ORIENTED_EDGE('',*,*,#10920,.F.); +#15279=ORIENTED_EDGE('',*,*,#10921,.F.); +#15280=ORIENTED_EDGE('',*,*,#10922,.F.); +#15281=ORIENTED_EDGE('',*,*,#10923,.T.); +#15282=ORIENTED_EDGE('',*,*,#10924,.T.); +#15283=ORIENTED_EDGE('',*,*,#10925,.T.); +#15284=ORIENTED_EDGE('',*,*,#10926,.F.); +#15285=ORIENTED_EDGE('',*,*,#10927,.T.); +#15286=ORIENTED_EDGE('',*,*,#10928,.F.); +#15287=ORIENTED_EDGE('',*,*,#10929,.T.); +#15288=ORIENTED_EDGE('',*,*,#10930,.T.); +#15289=ORIENTED_EDGE('',*,*,#10931,.F.); +#15290=ORIENTED_EDGE('',*,*,#10932,.T.); +#15291=ORIENTED_EDGE('',*,*,#10933,.F.); +#15292=ORIENTED_EDGE('',*,*,#10934,.T.); +#15293=ORIENTED_EDGE('',*,*,#10935,.T.); +#15294=ORIENTED_EDGE('',*,*,#10936,.F.); +#15295=ORIENTED_EDGE('',*,*,#10937,.T.); +#15296=ORIENTED_EDGE('',*,*,#10938,.T.); +#15297=ORIENTED_EDGE('',*,*,#10939,.T.); +#15298=ORIENTED_EDGE('',*,*,#10940,.F.); +#15299=ORIENTED_EDGE('',*,*,#10941,.F.); +#15300=ORIENTED_EDGE('',*,*,#10942,.T.); +#15301=ORIENTED_EDGE('',*,*,#10943,.T.); +#15302=ORIENTED_EDGE('',*,*,#10944,.F.); +#15303=ORIENTED_EDGE('',*,*,#10945,.F.); +#15304=ORIENTED_EDGE('',*,*,#10946,.T.); +#15305=ORIENTED_EDGE('',*,*,#10947,.T.); +#15306=ORIENTED_EDGE('',*,*,#10948,.F.); +#15307=ORIENTED_EDGE('',*,*,#10949,.T.); +#15308=ORIENTED_EDGE('',*,*,#10950,.T.); +#15309=ORIENTED_EDGE('',*,*,#10951,.T.); +#15310=ORIENTED_EDGE('',*,*,#10952,.T.); +#15311=ORIENTED_EDGE('',*,*,#10953,.T.); +#15312=ORIENTED_EDGE('',*,*,#10954,.T.); +#15313=ORIENTED_EDGE('',*,*,#10909,.T.); +#15314=ORIENTED_EDGE('',*,*,#10955,.T.); +#15315=ORIENTED_EDGE('',*,*,#10956,.F.); +#15316=ORIENTED_EDGE('',*,*,#10957,.T.); +#15317=ORIENTED_EDGE('',*,*,#10948,.T.); +#15318=ORIENTED_EDGE('',*,*,#10958,.F.); +#15319=ORIENTED_EDGE('',*,*,#10959,.F.); +#15320=ORIENTED_EDGE('',*,*,#10960,.T.); +#15321=ORIENTED_EDGE('',*,*,#10944,.T.); +#15322=ORIENTED_EDGE('',*,*,#10961,.F.); +#15323=ORIENTED_EDGE('',*,*,#10962,.F.); +#15324=ORIENTED_EDGE('',*,*,#10963,.T.); +#15325=ORIENTED_EDGE('',*,*,#10940,.T.); +#15326=ORIENTED_EDGE('',*,*,#10964,.T.); +#15327=ORIENTED_EDGE('',*,*,#10965,.T.); +#15328=ORIENTED_EDGE('',*,*,#10966,.T.); +#15329=ORIENTED_EDGE('',*,*,#10936,.T.); +#15330=ORIENTED_EDGE('',*,*,#10967,.F.); +#15331=ORIENTED_EDGE('',*,*,#10931,.T.); +#15332=ORIENTED_EDGE('',*,*,#10968,.T.); +#15333=ORIENTED_EDGE('',*,*,#10969,.T.); +#15334=ORIENTED_EDGE('',*,*,#10970,.T.); +#15335=ORIENTED_EDGE('',*,*,#10914,.T.); +#15336=ORIENTED_EDGE('',*,*,#10971,.T.); +#15337=ORIENTED_EDGE('',*,*,#10972,.T.); +#15338=ORIENTED_EDGE('',*,*,#10973,.F.); +#15339=ORIENTED_EDGE('',*,*,#10926,.T.); +#15340=ORIENTED_EDGE('',*,*,#10974,.T.); +#15341=ORIENTED_EDGE('',*,*,#10975,.T.); +#15342=ORIENTED_EDGE('',*,*,#10976,.T.); +#15343=ORIENTED_EDGE('',*,*,#10922,.T.); +#15344=ORIENTED_EDGE('',*,*,#10977,.T.); +#15345=ORIENTED_EDGE('',*,*,#10978,.T.); +#15346=ORIENTED_EDGE('',*,*,#10979,.F.); +#15347=ORIENTED_EDGE('',*,*,#10918,.T.); +#15348=ORIENTED_EDGE('',*,*,#10980,.T.); +#15349=ORIENTED_EDGE('',*,*,#10981,.T.); +#15350=ORIENTED_EDGE('',*,*,#10982,.F.); +#15351=ORIENTED_EDGE('',*,*,#10983,.T.); +#15352=ORIENTED_EDGE('',*,*,#10984,.T.); +#15353=ORIENTED_EDGE('',*,*,#10985,.T.); +#15354=ORIENTED_EDGE('',*,*,#10986,.T.); +#15355=ORIENTED_EDGE('',*,*,#10987,.F.); +#15356=ORIENTED_EDGE('',*,*,#10984,.F.); +#15357=ORIENTED_EDGE('',*,*,#10988,.F.); +#15358=ORIENTED_EDGE('',*,*,#10975,.F.); +#15359=ORIENTED_EDGE('',*,*,#10989,.F.); +#15360=ORIENTED_EDGE('',*,*,#10987,.T.); +#15361=ORIENTED_EDGE('',*,*,#10990,.F.); +#15362=ORIENTED_EDGE('',*,*,#10991,.F.); +#15363=ORIENTED_EDGE('',*,*,#10988,.T.); +#15364=ORIENTED_EDGE('',*,*,#10992,.F.); +#15365=ORIENTED_EDGE('',*,*,#10990,.T.); +#15366=ORIENTED_EDGE('',*,*,#10974,.F.); +#15367=ORIENTED_EDGE('',*,*,#10993,.F.); +#15368=ORIENTED_EDGE('',*,*,#10954,.F.); +#15369=ORIENTED_EDGE('',*,*,#10989,.T.); +#15370=ORIENTED_EDGE('',*,*,#10953,.F.); +#15371=ORIENTED_EDGE('',*,*,#10994,.F.); +#15372=ORIENTED_EDGE('',*,*,#10985,.F.); +#15373=ORIENTED_EDGE('',*,*,#10995,.F.); +#15374=ORIENTED_EDGE('',*,*,#10986,.F.); +#15375=ORIENTED_EDGE('',*,*,#10996,.F.); +#15376=ORIENTED_EDGE('',*,*,#10965,.F.); +#15377=ORIENTED_EDGE('',*,*,#10992,.T.); +#15378=ORIENTED_EDGE('',*,*,#10983,.F.); +#15379=ORIENTED_EDGE('',*,*,#10997,.F.); +#15380=ORIENTED_EDGE('',*,*,#10969,.F.); +#15381=ORIENTED_EDGE('',*,*,#10991,.T.); +#15382=ORIENTED_EDGE('',*,*,#10968,.F.); +#15383=ORIENTED_EDGE('',*,*,#10998,.F.); +#15384=ORIENTED_EDGE('',*,*,#10976,.F.); +#15385=ORIENTED_EDGE('',*,*,#10993,.T.); +#15386=ORIENTED_EDGE('',*,*,#10925,.F.); +#15387=ORIENTED_EDGE('',*,*,#10999,.F.); +#15388=ORIENTED_EDGE('',*,*,#10910,.F.); +#15389=ORIENTED_EDGE('',*,*,#10996,.T.); +#15390=ORIENTED_EDGE('',*,*,#10994,.T.); +#15391=ORIENTED_EDGE('',*,*,#11000,.F.); +#15392=ORIENTED_EDGE('',*,*,#10997,.T.); +#15393=ORIENTED_EDGE('',*,*,#10995,.T.); +#15394=ORIENTED_EDGE('',*,*,#11001,.F.); +#15395=ORIENTED_EDGE('',*,*,#10998,.T.); +#15396=ORIENTED_EDGE('',*,*,#10930,.F.); +#15397=ORIENTED_EDGE('',*,*,#11002,.F.); +#15398=ORIENTED_EDGE('',*,*,#10923,.F.); +#15399=ORIENTED_EDGE('',*,*,#11003,.F.); +#15400=ORIENTED_EDGE('',*,*,#10924,.F.); +#15401=ORIENTED_EDGE('',*,*,#11004,.F.); +#15402=ORIENTED_EDGE('',*,*,#10952,.F.); +#15403=ORIENTED_EDGE('',*,*,#10999,.T.); +#15404=ORIENTED_EDGE('',*,*,#11003,.T.); +#15405=ORIENTED_EDGE('',*,*,#11005,.F.); +#15406=ORIENTED_EDGE('',*,*,#11000,.T.); +#15407=ORIENTED_EDGE('',*,*,#10955,.F.); +#15408=ORIENTED_EDGE('',*,*,#11006,.F.); +#15409=ORIENTED_EDGE('',*,*,#10966,.F.); +#15410=ORIENTED_EDGE('',*,*,#11001,.T.); +#15411=ORIENTED_EDGE('',*,*,#10964,.F.); +#15412=ORIENTED_EDGE('',*,*,#11007,.F.); +#15413=ORIENTED_EDGE('',*,*,#10970,.F.); +#15414=ORIENTED_EDGE('',*,*,#11004,.T.); +#15415=ORIENTED_EDGE('',*,*,#11002,.T.); +#15416=ORIENTED_EDGE('',*,*,#11008,.F.); +#15417=ORIENTED_EDGE('',*,*,#11005,.T.); +#15418=ORIENTED_EDGE('',*,*,#10951,.F.); +#15419=ORIENTED_EDGE('',*,*,#11009,.F.); +#15420=ORIENTED_EDGE('',*,*,#10911,.F.); +#15421=ORIENTED_EDGE('',*,*,#11006,.T.); +#15422=ORIENTED_EDGE('',*,*,#10912,.F.); +#15423=ORIENTED_EDGE('',*,*,#11010,.F.); +#15424=ORIENTED_EDGE('',*,*,#10937,.F.); +#15425=ORIENTED_EDGE('',*,*,#11007,.T.); +#15426=ORIENTED_EDGE('',*,*,#10939,.F.); +#15427=ORIENTED_EDGE('',*,*,#11011,.F.); +#15428=ORIENTED_EDGE('',*,*,#10932,.F.); +#15429=ORIENTED_EDGE('',*,*,#11008,.T.); +#15430=ORIENTED_EDGE('',*,*,#10929,.F.); +#15431=ORIENTED_EDGE('',*,*,#11012,.F.); +#15432=ORIENTED_EDGE('',*,*,#10949,.F.); +#15433=ORIENTED_EDGE('',*,*,#11010,.T.); +#15434=ORIENTED_EDGE('',*,*,#11009,.T.); +#15435=ORIENTED_EDGE('',*,*,#11013,.F.); +#15436=ORIENTED_EDGE('',*,*,#11012,.T.); +#15437=ORIENTED_EDGE('',*,*,#11011,.T.); +#15438=ORIENTED_EDGE('',*,*,#11014,.F.); +#15439=ORIENTED_EDGE('',*,*,#11013,.T.); +#15440=ORIENTED_EDGE('',*,*,#10950,.F.); +#15441=ORIENTED_EDGE('',*,*,#11014,.T.); +#15442=ORIENTED_EDGE('',*,*,#10938,.F.); +#15443=ORIENTED_EDGE('',*,*,#11015,.T.); +#15444=ORIENTED_EDGE('',*,*,#11016,.F.); +#15445=ORIENTED_EDGE('',*,*,#11017,.F.); +#15446=ORIENTED_EDGE('',*,*,#11018,.T.); +#15447=ORIENTED_EDGE('',*,*,#11019,.T.); +#15448=ORIENTED_EDGE('',*,*,#11020,.T.); +#15449=ORIENTED_EDGE('',*,*,#11021,.F.); +#15450=ORIENTED_EDGE('',*,*,#11016,.T.); +#15451=ORIENTED_EDGE('',*,*,#11022,.F.); +#15452=ORIENTED_EDGE('',*,*,#11023,.T.); +#15453=ORIENTED_EDGE('',*,*,#11024,.T.); +#15454=ORIENTED_EDGE('',*,*,#11025,.T.); +#15455=ORIENTED_EDGE('',*,*,#11026,.F.); +#15456=ORIENTED_EDGE('',*,*,#11027,.T.); +#15457=ORIENTED_EDGE('',*,*,#11028,.T.); +#15458=ORIENTED_EDGE('',*,*,#10942,.F.); +#15459=ORIENTED_EDGE('',*,*,#11029,.T.); +#15460=ORIENTED_EDGE('',*,*,#11030,.T.); +#15461=ORIENTED_EDGE('',*,*,#11031,.F.); +#15462=ORIENTED_EDGE('',*,*,#10962,.T.); +#15463=ORIENTED_EDGE('',*,*,#11032,.T.); +#15464=ORIENTED_EDGE('',*,*,#11033,.T.); +#15465=ORIENTED_EDGE('',*,*,#11034,.F.); +#15466=ORIENTED_EDGE('',*,*,#11035,.T.); +#15467=ORIENTED_EDGE('',*,*,#11036,.F.); +#15468=ORIENTED_EDGE('',*,*,#11037,.T.); +#15469=ORIENTED_EDGE('',*,*,#11038,.T.); +#15470=ORIENTED_EDGE('',*,*,#11018,.F.); +#15471=ORIENTED_EDGE('',*,*,#11021,.T.); +#15472=ORIENTED_EDGE('',*,*,#11039,.T.); +#15473=ORIENTED_EDGE('',*,*,#11022,.T.); +#15474=ORIENTED_EDGE('',*,*,#11040,.T.); +#15475=ORIENTED_EDGE('',*,*,#11026,.T.); +#15476=ORIENTED_EDGE('',*,*,#10941,.T.); +#15477=ORIENTED_EDGE('',*,*,#10963,.F.); +#15478=ORIENTED_EDGE('',*,*,#11031,.T.); +#15479=ORIENTED_EDGE('',*,*,#11041,.T.); +#15480=ORIENTED_EDGE('',*,*,#11034,.T.); +#15481=ORIENTED_EDGE('',*,*,#11042,.T.); +#15482=ORIENTED_EDGE('',*,*,#11036,.T.); +#15483=ORIENTED_EDGE('',*,*,#11017,.T.); +#15484=ORIENTED_EDGE('',*,*,#11024,.F.); +#15485=ORIENTED_EDGE('',*,*,#11043,.T.); +#15486=ORIENTED_EDGE('',*,*,#11019,.F.); +#15487=ORIENTED_EDGE('',*,*,#11015,.F.); +#15488=ORIENTED_EDGE('',*,*,#11038,.F.); +#15489=ORIENTED_EDGE('',*,*,#11044,.T.); +#15490=ORIENTED_EDGE('',*,*,#11032,.F.); +#15491=ORIENTED_EDGE('',*,*,#11045,.T.); +#15492=ORIENTED_EDGE('',*,*,#11029,.F.); +#15493=ORIENTED_EDGE('',*,*,#10961,.T.); +#15494=ORIENTED_EDGE('',*,*,#10943,.F.); +#15495=ORIENTED_EDGE('',*,*,#11028,.F.); +#15496=ORIENTED_EDGE('',*,*,#11046,.T.); +#15497=ORIENTED_EDGE('',*,*,#11042,.F.); +#15498=ORIENTED_EDGE('',*,*,#11033,.F.); +#15499=ORIENTED_EDGE('',*,*,#11044,.F.); +#15500=ORIENTED_EDGE('',*,*,#11037,.F.); +#15501=ORIENTED_EDGE('',*,*,#11039,.F.); +#15502=ORIENTED_EDGE('',*,*,#11020,.F.); +#15503=ORIENTED_EDGE('',*,*,#11043,.F.); +#15504=ORIENTED_EDGE('',*,*,#11023,.F.); +#15505=ORIENTED_EDGE('',*,*,#11040,.F.); +#15506=ORIENTED_EDGE('',*,*,#11025,.F.); +#15507=ORIENTED_EDGE('',*,*,#11046,.F.); +#15508=ORIENTED_EDGE('',*,*,#11027,.F.); +#15509=ORIENTED_EDGE('',*,*,#11041,.F.); +#15510=ORIENTED_EDGE('',*,*,#11030,.F.); +#15511=ORIENTED_EDGE('',*,*,#11045,.F.); +#15512=ORIENTED_EDGE('',*,*,#11035,.F.); +#15513=ORIENTED_EDGE('',*,*,#11047,.T.); +#15514=ORIENTED_EDGE('',*,*,#11048,.F.); +#15515=ORIENTED_EDGE('',*,*,#11049,.F.); +#15516=ORIENTED_EDGE('',*,*,#11050,.T.); +#15517=ORIENTED_EDGE('',*,*,#11051,.T.); +#15518=ORIENTED_EDGE('',*,*,#11052,.T.); +#15519=ORIENTED_EDGE('',*,*,#11053,.F.); +#15520=ORIENTED_EDGE('',*,*,#11048,.T.); +#15521=ORIENTED_EDGE('',*,*,#11054,.F.); +#15522=ORIENTED_EDGE('',*,*,#11055,.T.); +#15523=ORIENTED_EDGE('',*,*,#11056,.T.); +#15524=ORIENTED_EDGE('',*,*,#11057,.T.); +#15525=ORIENTED_EDGE('',*,*,#11058,.F.); +#15526=ORIENTED_EDGE('',*,*,#11059,.T.); +#15527=ORIENTED_EDGE('',*,*,#11060,.T.); +#15528=ORIENTED_EDGE('',*,*,#10946,.F.); +#15529=ORIENTED_EDGE('',*,*,#11061,.T.); +#15530=ORIENTED_EDGE('',*,*,#11062,.T.); +#15531=ORIENTED_EDGE('',*,*,#11063,.F.); +#15532=ORIENTED_EDGE('',*,*,#10959,.T.); +#15533=ORIENTED_EDGE('',*,*,#11064,.T.); +#15534=ORIENTED_EDGE('',*,*,#11065,.T.); +#15535=ORIENTED_EDGE('',*,*,#11066,.F.); +#15536=ORIENTED_EDGE('',*,*,#11067,.T.); +#15537=ORIENTED_EDGE('',*,*,#11068,.F.); +#15538=ORIENTED_EDGE('',*,*,#11069,.T.); +#15539=ORIENTED_EDGE('',*,*,#11070,.T.); +#15540=ORIENTED_EDGE('',*,*,#11050,.F.); +#15541=ORIENTED_EDGE('',*,*,#11053,.T.); +#15542=ORIENTED_EDGE('',*,*,#11071,.T.); +#15543=ORIENTED_EDGE('',*,*,#11054,.T.); +#15544=ORIENTED_EDGE('',*,*,#11072,.T.); +#15545=ORIENTED_EDGE('',*,*,#11058,.T.); +#15546=ORIENTED_EDGE('',*,*,#10945,.T.); +#15547=ORIENTED_EDGE('',*,*,#10960,.F.); +#15548=ORIENTED_EDGE('',*,*,#11063,.T.); +#15549=ORIENTED_EDGE('',*,*,#11073,.T.); +#15550=ORIENTED_EDGE('',*,*,#11066,.T.); +#15551=ORIENTED_EDGE('',*,*,#11074,.T.); +#15552=ORIENTED_EDGE('',*,*,#11068,.T.); +#15553=ORIENTED_EDGE('',*,*,#11049,.T.); +#15554=ORIENTED_EDGE('',*,*,#11056,.F.); +#15555=ORIENTED_EDGE('',*,*,#11075,.T.); +#15556=ORIENTED_EDGE('',*,*,#11051,.F.); +#15557=ORIENTED_EDGE('',*,*,#11047,.F.); +#15558=ORIENTED_EDGE('',*,*,#11070,.F.); +#15559=ORIENTED_EDGE('',*,*,#11076,.T.); +#15560=ORIENTED_EDGE('',*,*,#11064,.F.); +#15561=ORIENTED_EDGE('',*,*,#11077,.T.); +#15562=ORIENTED_EDGE('',*,*,#11061,.F.); +#15563=ORIENTED_EDGE('',*,*,#10958,.T.); +#15564=ORIENTED_EDGE('',*,*,#10947,.F.); +#15565=ORIENTED_EDGE('',*,*,#11060,.F.); +#15566=ORIENTED_EDGE('',*,*,#11078,.T.); +#15567=ORIENTED_EDGE('',*,*,#11079,.T.); +#15568=ORIENTED_EDGE('',*,*,#11080,.F.); +#15569=ORIENTED_EDGE('',*,*,#11081,.F.); +#15570=ORIENTED_EDGE('',*,*,#11082,.T.); +#15571=ORIENTED_EDGE('',*,*,#11083,.T.); +#15572=ORIENTED_EDGE('',*,*,#11084,.T.); +#15573=ORIENTED_EDGE('',*,*,#11085,.F.); +#15574=ORIENTED_EDGE('',*,*,#11080,.T.); +#15575=ORIENTED_EDGE('',*,*,#11086,.F.); +#15576=ORIENTED_EDGE('',*,*,#11087,.T.); +#15577=ORIENTED_EDGE('',*,*,#11088,.T.); +#15578=ORIENTED_EDGE('',*,*,#11089,.T.); +#15579=ORIENTED_EDGE('',*,*,#11090,.F.); +#15580=ORIENTED_EDGE('',*,*,#11091,.T.); +#15581=ORIENTED_EDGE('',*,*,#11092,.T.); +#15582=ORIENTED_EDGE('',*,*,#10934,.F.); +#15583=ORIENTED_EDGE('',*,*,#11093,.T.); +#15584=ORIENTED_EDGE('',*,*,#11094,.T.); +#15585=ORIENTED_EDGE('',*,*,#11095,.F.); +#15586=ORIENTED_EDGE('',*,*,#10956,.T.); +#15587=ORIENTED_EDGE('',*,*,#11096,.T.); +#15588=ORIENTED_EDGE('',*,*,#11097,.T.); +#15589=ORIENTED_EDGE('',*,*,#11098,.F.); +#15590=ORIENTED_EDGE('',*,*,#11099,.T.); +#15591=ORIENTED_EDGE('',*,*,#11100,.F.); +#15592=ORIENTED_EDGE('',*,*,#11101,.T.); +#15593=ORIENTED_EDGE('',*,*,#11102,.T.); +#15594=ORIENTED_EDGE('',*,*,#11082,.F.); +#15595=ORIENTED_EDGE('',*,*,#11085,.T.); +#15596=ORIENTED_EDGE('',*,*,#11103,.T.); +#15597=ORIENTED_EDGE('',*,*,#11086,.T.); +#15598=ORIENTED_EDGE('',*,*,#11104,.T.); +#15599=ORIENTED_EDGE('',*,*,#11090,.T.); +#15600=ORIENTED_EDGE('',*,*,#10933,.T.); +#15601=ORIENTED_EDGE('',*,*,#10957,.F.); +#15602=ORIENTED_EDGE('',*,*,#11095,.T.); +#15603=ORIENTED_EDGE('',*,*,#11105,.T.); +#15604=ORIENTED_EDGE('',*,*,#11098,.T.); +#15605=ORIENTED_EDGE('',*,*,#11106,.T.); +#15606=ORIENTED_EDGE('',*,*,#11100,.T.); +#15607=ORIENTED_EDGE('',*,*,#11081,.T.); +#15608=ORIENTED_EDGE('',*,*,#11088,.F.); +#15609=ORIENTED_EDGE('',*,*,#11107,.T.); +#15610=ORIENTED_EDGE('',*,*,#11083,.F.); +#15611=ORIENTED_EDGE('',*,*,#11079,.F.); +#15612=ORIENTED_EDGE('',*,*,#11102,.F.); +#15613=ORIENTED_EDGE('',*,*,#11108,.T.); +#15614=ORIENTED_EDGE('',*,*,#11096,.F.); +#15615=ORIENTED_EDGE('',*,*,#11109,.T.); +#15616=ORIENTED_EDGE('',*,*,#11093,.F.); +#15617=ORIENTED_EDGE('',*,*,#10967,.T.); +#15618=ORIENTED_EDGE('',*,*,#10935,.F.); +#15619=ORIENTED_EDGE('',*,*,#11092,.F.); +#15620=ORIENTED_EDGE('',*,*,#11110,.T.); +#15621=ORIENTED_EDGE('',*,*,#11074,.F.); +#15622=ORIENTED_EDGE('',*,*,#11065,.F.); +#15623=ORIENTED_EDGE('',*,*,#11076,.F.); +#15624=ORIENTED_EDGE('',*,*,#11069,.F.); +#15625=ORIENTED_EDGE('',*,*,#11071,.F.); +#15626=ORIENTED_EDGE('',*,*,#11052,.F.); +#15627=ORIENTED_EDGE('',*,*,#11075,.F.); +#15628=ORIENTED_EDGE('',*,*,#11055,.F.); +#15629=ORIENTED_EDGE('',*,*,#11072,.F.); +#15630=ORIENTED_EDGE('',*,*,#11057,.F.); +#15631=ORIENTED_EDGE('',*,*,#11078,.F.); +#15632=ORIENTED_EDGE('',*,*,#11059,.F.); +#15633=ORIENTED_EDGE('',*,*,#11073,.F.); +#15634=ORIENTED_EDGE('',*,*,#11062,.F.); +#15635=ORIENTED_EDGE('',*,*,#11077,.F.); +#15636=ORIENTED_EDGE('',*,*,#11067,.F.); +#15637=ORIENTED_EDGE('',*,*,#11106,.F.); +#15638=ORIENTED_EDGE('',*,*,#11097,.F.); +#15639=ORIENTED_EDGE('',*,*,#11108,.F.); +#15640=ORIENTED_EDGE('',*,*,#11101,.F.); +#15641=ORIENTED_EDGE('',*,*,#11103,.F.); +#15642=ORIENTED_EDGE('',*,*,#11084,.F.); +#15643=ORIENTED_EDGE('',*,*,#11107,.F.); +#15644=ORIENTED_EDGE('',*,*,#11087,.F.); +#15645=ORIENTED_EDGE('',*,*,#11104,.F.); +#15646=ORIENTED_EDGE('',*,*,#11089,.F.); +#15647=ORIENTED_EDGE('',*,*,#11110,.F.); +#15648=ORIENTED_EDGE('',*,*,#11091,.F.); +#15649=ORIENTED_EDGE('',*,*,#11105,.F.); +#15650=ORIENTED_EDGE('',*,*,#11094,.F.); +#15651=ORIENTED_EDGE('',*,*,#11109,.F.); +#15652=ORIENTED_EDGE('',*,*,#11099,.F.); +#15653=ORIENTED_EDGE('',*,*,#11111,.F.); +#15654=ORIENTED_EDGE('',*,*,#11112,.F.); +#15655=ORIENTED_EDGE('',*,*,#11113,.T.); +#15656=ORIENTED_EDGE('',*,*,#11114,.T.); +#15657=ORIENTED_EDGE('',*,*,#11115,.F.); +#15658=ORIENTED_EDGE('',*,*,#11116,.T.); +#15659=ORIENTED_EDGE('',*,*,#11117,.T.); +#15660=ORIENTED_EDGE('',*,*,#11112,.T.); +#15661=ORIENTED_EDGE('',*,*,#11118,.T.); +#15662=ORIENTED_EDGE('',*,*,#11119,.T.); +#15663=ORIENTED_EDGE('',*,*,#11120,.F.); +#15664=ORIENTED_EDGE('',*,*,#11121,.T.); +#15665=ORIENTED_EDGE('',*,*,#11122,.T.); +#15666=ORIENTED_EDGE('',*,*,#11123,.T.); +#15667=ORIENTED_EDGE('',*,*,#11124,.F.); +#15668=ORIENTED_EDGE('',*,*,#10978,.F.); +#15669=ORIENTED_EDGE('',*,*,#11125,.F.); +#15670=ORIENTED_EDGE('',*,*,#11126,.T.); +#15671=ORIENTED_EDGE('',*,*,#11127,.T.); +#15672=ORIENTED_EDGE('',*,*,#10920,.T.); +#15673=ORIENTED_EDGE('',*,*,#11128,.F.); +#15674=ORIENTED_EDGE('',*,*,#11129,.T.); +#15675=ORIENTED_EDGE('',*,*,#11130,.T.); +#15676=ORIENTED_EDGE('',*,*,#11131,.T.); +#15677=ORIENTED_EDGE('',*,*,#11132,.T.); +#15678=ORIENTED_EDGE('',*,*,#11133,.T.); +#15679=ORIENTED_EDGE('',*,*,#11134,.F.); +#15680=ORIENTED_EDGE('',*,*,#11114,.F.); +#15681=ORIENTED_EDGE('',*,*,#11130,.F.); +#15682=ORIENTED_EDGE('',*,*,#11135,.T.); +#15683=ORIENTED_EDGE('',*,*,#11132,.F.); +#15684=ORIENTED_EDGE('',*,*,#11113,.F.); +#15685=ORIENTED_EDGE('',*,*,#11117,.F.); +#15686=ORIENTED_EDGE('',*,*,#11136,.T.); +#15687=ORIENTED_EDGE('',*,*,#11118,.F.); +#15688=ORIENTED_EDGE('',*,*,#11137,.T.); +#15689=ORIENTED_EDGE('',*,*,#11122,.F.); +#15690=ORIENTED_EDGE('',*,*,#10977,.F.); +#15691=ORIENTED_EDGE('',*,*,#10921,.T.); +#15692=ORIENTED_EDGE('',*,*,#11127,.F.); +#15693=ORIENTED_EDGE('',*,*,#11138,.T.); +#15694=ORIENTED_EDGE('',*,*,#11134,.T.); +#15695=ORIENTED_EDGE('',*,*,#11139,.T.); +#15696=ORIENTED_EDGE('',*,*,#11128,.T.); +#15697=ORIENTED_EDGE('',*,*,#11140,.T.); +#15698=ORIENTED_EDGE('',*,*,#11125,.T.); +#15699=ORIENTED_EDGE('',*,*,#10919,.F.); +#15700=ORIENTED_EDGE('',*,*,#10979,.T.); +#15701=ORIENTED_EDGE('',*,*,#11124,.T.); +#15702=ORIENTED_EDGE('',*,*,#11141,.T.); +#15703=ORIENTED_EDGE('',*,*,#11120,.T.); +#15704=ORIENTED_EDGE('',*,*,#11142,.T.); +#15705=ORIENTED_EDGE('',*,*,#11115,.T.); +#15706=ORIENTED_EDGE('',*,*,#11111,.T.); +#15707=ORIENTED_EDGE('',*,*,#11136,.F.); +#15708=ORIENTED_EDGE('',*,*,#11116,.F.); +#15709=ORIENTED_EDGE('',*,*,#11142,.F.); +#15710=ORIENTED_EDGE('',*,*,#11119,.F.); +#15711=ORIENTED_EDGE('',*,*,#11135,.F.); +#15712=ORIENTED_EDGE('',*,*,#11129,.F.); +#15713=ORIENTED_EDGE('',*,*,#11139,.F.); +#15714=ORIENTED_EDGE('',*,*,#11133,.F.); +#15715=ORIENTED_EDGE('',*,*,#11138,.F.); +#15716=ORIENTED_EDGE('',*,*,#11126,.F.); +#15717=ORIENTED_EDGE('',*,*,#11140,.F.); +#15718=ORIENTED_EDGE('',*,*,#11131,.F.); +#15719=ORIENTED_EDGE('',*,*,#11137,.F.); +#15720=ORIENTED_EDGE('',*,*,#11121,.F.); +#15721=ORIENTED_EDGE('',*,*,#11141,.F.); +#15722=ORIENTED_EDGE('',*,*,#11123,.F.); +#15723=ORIENTED_EDGE('',*,*,#11143,.F.); +#15724=ORIENTED_EDGE('',*,*,#11144,.F.); +#15725=ORIENTED_EDGE('',*,*,#11145,.T.); +#15726=ORIENTED_EDGE('',*,*,#11146,.T.); +#15727=ORIENTED_EDGE('',*,*,#11147,.F.); +#15728=ORIENTED_EDGE('',*,*,#11148,.T.); +#15729=ORIENTED_EDGE('',*,*,#11149,.T.); +#15730=ORIENTED_EDGE('',*,*,#11144,.T.); +#15731=ORIENTED_EDGE('',*,*,#11150,.T.); +#15732=ORIENTED_EDGE('',*,*,#11151,.T.); +#15733=ORIENTED_EDGE('',*,*,#11152,.F.); +#15734=ORIENTED_EDGE('',*,*,#11153,.T.); +#15735=ORIENTED_EDGE('',*,*,#11154,.T.); +#15736=ORIENTED_EDGE('',*,*,#11155,.T.); +#15737=ORIENTED_EDGE('',*,*,#11156,.F.); +#15738=ORIENTED_EDGE('',*,*,#10981,.F.); +#15739=ORIENTED_EDGE('',*,*,#11157,.F.); +#15740=ORIENTED_EDGE('',*,*,#11158,.T.); +#15741=ORIENTED_EDGE('',*,*,#11159,.T.); +#15742=ORIENTED_EDGE('',*,*,#10916,.T.); +#15743=ORIENTED_EDGE('',*,*,#11160,.F.); +#15744=ORIENTED_EDGE('',*,*,#11161,.T.); +#15745=ORIENTED_EDGE('',*,*,#11162,.T.); +#15746=ORIENTED_EDGE('',*,*,#11163,.T.); +#15747=ORIENTED_EDGE('',*,*,#11164,.T.); +#15748=ORIENTED_EDGE('',*,*,#11165,.T.); +#15749=ORIENTED_EDGE('',*,*,#11166,.F.); +#15750=ORIENTED_EDGE('',*,*,#11146,.F.); +#15751=ORIENTED_EDGE('',*,*,#11162,.F.); +#15752=ORIENTED_EDGE('',*,*,#11167,.T.); +#15753=ORIENTED_EDGE('',*,*,#11164,.F.); +#15754=ORIENTED_EDGE('',*,*,#11145,.F.); +#15755=ORIENTED_EDGE('',*,*,#11149,.F.); +#15756=ORIENTED_EDGE('',*,*,#11168,.T.); +#15757=ORIENTED_EDGE('',*,*,#11150,.F.); +#15758=ORIENTED_EDGE('',*,*,#11169,.T.); +#15759=ORIENTED_EDGE('',*,*,#11154,.F.); +#15760=ORIENTED_EDGE('',*,*,#10980,.F.); +#15761=ORIENTED_EDGE('',*,*,#10917,.T.); +#15762=ORIENTED_EDGE('',*,*,#11159,.F.); +#15763=ORIENTED_EDGE('',*,*,#11170,.T.); +#15764=ORIENTED_EDGE('',*,*,#11166,.T.); +#15765=ORIENTED_EDGE('',*,*,#11171,.T.); +#15766=ORIENTED_EDGE('',*,*,#11160,.T.); +#15767=ORIENTED_EDGE('',*,*,#11172,.T.); +#15768=ORIENTED_EDGE('',*,*,#11157,.T.); +#15769=ORIENTED_EDGE('',*,*,#10915,.F.); +#15770=ORIENTED_EDGE('',*,*,#10982,.T.); +#15771=ORIENTED_EDGE('',*,*,#11156,.T.); +#15772=ORIENTED_EDGE('',*,*,#11173,.T.); +#15773=ORIENTED_EDGE('',*,*,#11152,.T.); +#15774=ORIENTED_EDGE('',*,*,#11174,.T.); +#15775=ORIENTED_EDGE('',*,*,#11147,.T.); +#15776=ORIENTED_EDGE('',*,*,#11143,.T.); +#15777=ORIENTED_EDGE('',*,*,#11175,.F.); +#15778=ORIENTED_EDGE('',*,*,#11176,.F.); +#15779=ORIENTED_EDGE('',*,*,#11177,.T.); +#15780=ORIENTED_EDGE('',*,*,#11178,.T.); +#15781=ORIENTED_EDGE('',*,*,#11179,.F.); +#15782=ORIENTED_EDGE('',*,*,#11180,.T.); +#15783=ORIENTED_EDGE('',*,*,#11181,.T.); +#15784=ORIENTED_EDGE('',*,*,#11176,.T.); +#15785=ORIENTED_EDGE('',*,*,#11182,.T.); +#15786=ORIENTED_EDGE('',*,*,#11183,.T.); +#15787=ORIENTED_EDGE('',*,*,#11184,.F.); +#15788=ORIENTED_EDGE('',*,*,#11185,.T.); +#15789=ORIENTED_EDGE('',*,*,#11186,.T.); +#15790=ORIENTED_EDGE('',*,*,#11187,.T.); +#15791=ORIENTED_EDGE('',*,*,#11188,.F.); +#15792=ORIENTED_EDGE('',*,*,#10972,.F.); +#15793=ORIENTED_EDGE('',*,*,#11189,.F.); +#15794=ORIENTED_EDGE('',*,*,#11190,.T.); +#15795=ORIENTED_EDGE('',*,*,#11191,.T.); +#15796=ORIENTED_EDGE('',*,*,#10928,.T.); +#15797=ORIENTED_EDGE('',*,*,#11192,.F.); +#15798=ORIENTED_EDGE('',*,*,#11193,.T.); +#15799=ORIENTED_EDGE('',*,*,#11194,.T.); +#15800=ORIENTED_EDGE('',*,*,#11195,.T.); +#15801=ORIENTED_EDGE('',*,*,#11196,.T.); +#15802=ORIENTED_EDGE('',*,*,#11197,.T.); +#15803=ORIENTED_EDGE('',*,*,#11198,.F.); +#15804=ORIENTED_EDGE('',*,*,#11178,.F.); +#15805=ORIENTED_EDGE('',*,*,#11194,.F.); +#15806=ORIENTED_EDGE('',*,*,#11199,.T.); +#15807=ORIENTED_EDGE('',*,*,#11196,.F.); +#15808=ORIENTED_EDGE('',*,*,#11177,.F.); +#15809=ORIENTED_EDGE('',*,*,#11181,.F.); +#15810=ORIENTED_EDGE('',*,*,#11200,.T.); +#15811=ORIENTED_EDGE('',*,*,#11182,.F.); +#15812=ORIENTED_EDGE('',*,*,#11201,.T.); +#15813=ORIENTED_EDGE('',*,*,#11186,.F.); +#15814=ORIENTED_EDGE('',*,*,#10971,.F.); +#15815=ORIENTED_EDGE('',*,*,#10913,.T.); +#15816=ORIENTED_EDGE('',*,*,#11191,.F.); +#15817=ORIENTED_EDGE('',*,*,#11202,.T.); +#15818=ORIENTED_EDGE('',*,*,#11198,.T.); +#15819=ORIENTED_EDGE('',*,*,#11203,.T.); +#15820=ORIENTED_EDGE('',*,*,#11192,.T.); +#15821=ORIENTED_EDGE('',*,*,#11204,.T.); +#15822=ORIENTED_EDGE('',*,*,#11189,.T.); +#15823=ORIENTED_EDGE('',*,*,#10927,.F.); +#15824=ORIENTED_EDGE('',*,*,#10973,.T.); +#15825=ORIENTED_EDGE('',*,*,#11188,.T.); +#15826=ORIENTED_EDGE('',*,*,#11205,.T.); +#15827=ORIENTED_EDGE('',*,*,#11184,.T.); +#15828=ORIENTED_EDGE('',*,*,#11206,.T.); +#15829=ORIENTED_EDGE('',*,*,#11179,.T.); +#15830=ORIENTED_EDGE('',*,*,#11175,.T.); +#15831=ORIENTED_EDGE('',*,*,#11168,.F.); +#15832=ORIENTED_EDGE('',*,*,#11148,.F.); +#15833=ORIENTED_EDGE('',*,*,#11174,.F.); +#15834=ORIENTED_EDGE('',*,*,#11151,.F.); +#15835=ORIENTED_EDGE('',*,*,#11167,.F.); +#15836=ORIENTED_EDGE('',*,*,#11161,.F.); +#15837=ORIENTED_EDGE('',*,*,#11171,.F.); +#15838=ORIENTED_EDGE('',*,*,#11165,.F.); +#15839=ORIENTED_EDGE('',*,*,#11170,.F.); +#15840=ORIENTED_EDGE('',*,*,#11158,.F.); +#15841=ORIENTED_EDGE('',*,*,#11172,.F.); +#15842=ORIENTED_EDGE('',*,*,#11163,.F.); +#15843=ORIENTED_EDGE('',*,*,#11169,.F.); +#15844=ORIENTED_EDGE('',*,*,#11153,.F.); +#15845=ORIENTED_EDGE('',*,*,#11173,.F.); +#15846=ORIENTED_EDGE('',*,*,#11155,.F.); +#15847=ORIENTED_EDGE('',*,*,#11200,.F.); +#15848=ORIENTED_EDGE('',*,*,#11180,.F.); +#15849=ORIENTED_EDGE('',*,*,#11206,.F.); +#15850=ORIENTED_EDGE('',*,*,#11183,.F.); +#15851=ORIENTED_EDGE('',*,*,#11199,.F.); +#15852=ORIENTED_EDGE('',*,*,#11193,.F.); +#15853=ORIENTED_EDGE('',*,*,#11203,.F.); +#15854=ORIENTED_EDGE('',*,*,#11197,.F.); +#15855=ORIENTED_EDGE('',*,*,#11202,.F.); +#15856=ORIENTED_EDGE('',*,*,#11190,.F.); +#15857=ORIENTED_EDGE('',*,*,#11204,.F.); +#15858=ORIENTED_EDGE('',*,*,#11195,.F.); +#15859=ORIENTED_EDGE('',*,*,#11201,.F.); +#15860=ORIENTED_EDGE('',*,*,#11185,.F.); +#15861=ORIENTED_EDGE('',*,*,#11205,.F.); +#15862=ORIENTED_EDGE('',*,*,#11187,.F.); +#15863=ORIENTED_EDGE('',*,*,#11207,.F.); +#15864=ORIENTED_EDGE('',*,*,#11208,.F.); +#15865=ORIENTED_EDGE('',*,*,#11209,.F.); +#15866=ORIENTED_EDGE('',*,*,#11210,.F.); +#15867=ORIENTED_EDGE('',*,*,#11211,.F.); +#15868=ORIENTED_EDGE('',*,*,#11212,.F.); +#15869=ORIENTED_EDGE('',*,*,#11213,.F.); +#15870=ORIENTED_EDGE('',*,*,#11214,.F.); +#15871=ORIENTED_EDGE('',*,*,#11215,.T.); +#15872=ORIENTED_EDGE('',*,*,#11209,.T.); +#15873=ORIENTED_EDGE('',*,*,#11216,.F.); +#15874=ORIENTED_EDGE('',*,*,#11217,.T.); +#15875=ORIENTED_EDGE('',*,*,#11218,.T.); +#15876=ORIENTED_EDGE('',*,*,#11219,.F.); +#15877=ORIENTED_EDGE('',*,*,#11220,.F.); +#15878=ORIENTED_EDGE('',*,*,#11221,.F.); +#15879=ORIENTED_EDGE('',*,*,#11222,.F.); +#15880=ORIENTED_EDGE('',*,*,#11216,.T.); +#15881=ORIENTED_EDGE('',*,*,#11208,.T.); +#15882=ORIENTED_EDGE('',*,*,#11207,.T.); +#15883=ORIENTED_EDGE('',*,*,#11223,.T.); +#15884=ORIENTED_EDGE('',*,*,#11224,.F.); +#15885=ORIENTED_EDGE('',*,*,#11217,.F.); +#15886=ORIENTED_EDGE('',*,*,#11212,.T.); +#15887=ORIENTED_EDGE('',*,*,#11225,.F.); +#15888=ORIENTED_EDGE('',*,*,#11226,.T.); +#15889=ORIENTED_EDGE('',*,*,#11223,.F.); +#15890=ORIENTED_EDGE('',*,*,#11211,.T.); +#15891=ORIENTED_EDGE('',*,*,#11227,.F.); +#15892=ORIENTED_EDGE('',*,*,#11228,.F.); +#15893=ORIENTED_EDGE('',*,*,#11225,.T.); +#15894=ORIENTED_EDGE('',*,*,#11229,.F.); +#15895=ORIENTED_EDGE('',*,*,#11230,.F.); +#15896=ORIENTED_EDGE('',*,*,#11231,.T.); +#15897=ORIENTED_EDGE('',*,*,#11232,.T.); +#15898=ORIENTED_EDGE('',*,*,#11227,.T.); +#15899=ORIENTED_EDGE('',*,*,#11210,.T.); +#15900=ORIENTED_EDGE('',*,*,#11215,.F.); +#15901=ORIENTED_EDGE('',*,*,#11233,.F.); +#15902=ORIENTED_EDGE('',*,*,#11234,.F.); +#15903=ORIENTED_EDGE('',*,*,#11235,.T.); +#15904=ORIENTED_EDGE('',*,*,#11236,.T.); +#15905=ORIENTED_EDGE('',*,*,#11237,.F.); +#15906=ORIENTED_EDGE('',*,*,#11238,.F.); +#15907=ORIENTED_EDGE('',*,*,#11239,.F.); +#15908=ORIENTED_EDGE('',*,*,#11240,.F.); +#15909=ORIENTED_EDGE('',*,*,#11241,.F.); +#15910=ORIENTED_EDGE('',*,*,#11236,.F.); +#15911=ORIENTED_EDGE('',*,*,#11242,.F.); +#15912=ORIENTED_EDGE('',*,*,#11243,.F.); +#15913=ORIENTED_EDGE('',*,*,#11244,.F.); +#15914=ORIENTED_EDGE('',*,*,#11245,.F.); +#15915=ORIENTED_EDGE('',*,*,#11246,.F.); +#15916=ORIENTED_EDGE('',*,*,#11247,.F.); +#15917=ORIENTED_EDGE('',*,*,#11248,.F.); +#15918=ORIENTED_EDGE('',*,*,#11249,.F.); +#15919=ORIENTED_EDGE('',*,*,#11250,.F.); +#15920=ORIENTED_EDGE('',*,*,#11251,.F.); +#15921=ORIENTED_EDGE('',*,*,#11252,.T.); +#15922=ORIENTED_EDGE('',*,*,#11246,.T.); +#15923=ORIENTED_EDGE('',*,*,#11253,.F.); +#15924=ORIENTED_EDGE('',*,*,#11254,.F.); +#15925=ORIENTED_EDGE('',*,*,#11255,.T.); +#15926=ORIENTED_EDGE('',*,*,#11256,.T.); +#15927=ORIENTED_EDGE('',*,*,#11257,.F.); +#15928=ORIENTED_EDGE('',*,*,#11258,.F.); +#15929=ORIENTED_EDGE('',*,*,#11259,.F.); +#15930=ORIENTED_EDGE('',*,*,#11260,.F.); +#15931=ORIENTED_EDGE('',*,*,#11261,.F.); +#15932=ORIENTED_EDGE('',*,*,#11256,.F.); +#15933=ORIENTED_EDGE('',*,*,#11262,.F.); +#15934=ORIENTED_EDGE('',*,*,#11263,.F.); +#15935=ORIENTED_EDGE('',*,*,#11264,.F.); +#15936=ORIENTED_EDGE('',*,*,#11265,.T.); +#15937=ORIENTED_EDGE('',*,*,#11266,.T.); +#15938=ORIENTED_EDGE('',*,*,#11267,.F.); +#15939=ORIENTED_EDGE('',*,*,#11268,.F.); +#15940=ORIENTED_EDGE('',*,*,#11269,.F.); +#15941=ORIENTED_EDGE('',*,*,#11270,.F.); +#15942=ORIENTED_EDGE('',*,*,#11271,.F.); +#15943=ORIENTED_EDGE('',*,*,#11266,.F.); +#15944=ORIENTED_EDGE('',*,*,#11272,.F.); +#15945=ORIENTED_EDGE('',*,*,#11273,.F.); +#15946=ORIENTED_EDGE('',*,*,#11274,.F.); +#15947=ORIENTED_EDGE('',*,*,#11275,.T.); +#15948=ORIENTED_EDGE('',*,*,#11276,.T.); +#15949=ORIENTED_EDGE('',*,*,#11277,.F.); +#15950=ORIENTED_EDGE('',*,*,#11278,.F.); +#15951=ORIENTED_EDGE('',*,*,#11279,.F.); +#15952=ORIENTED_EDGE('',*,*,#11280,.F.); +#15953=ORIENTED_EDGE('',*,*,#11281,.F.); +#15954=ORIENTED_EDGE('',*,*,#11276,.F.); +#15955=ORIENTED_EDGE('',*,*,#11282,.F.); +#15956=ORIENTED_EDGE('',*,*,#11283,.F.); +#15957=ORIENTED_EDGE('',*,*,#11284,.F.); +#15958=ORIENTED_EDGE('',*,*,#11285,.T.); +#15959=ORIENTED_EDGE('',*,*,#11286,.T.); +#15960=ORIENTED_EDGE('',*,*,#11287,.F.); +#15961=ORIENTED_EDGE('',*,*,#11288,.F.); +#15962=ORIENTED_EDGE('',*,*,#11289,.F.); +#15963=ORIENTED_EDGE('',*,*,#11290,.F.); +#15964=ORIENTED_EDGE('',*,*,#11291,.F.); +#15965=ORIENTED_EDGE('',*,*,#11286,.F.); +#15966=ORIENTED_EDGE('',*,*,#11292,.F.); +#15967=ORIENTED_EDGE('',*,*,#11293,.F.); +#15968=ORIENTED_EDGE('',*,*,#11294,.F.); +#15969=ORIENTED_EDGE('',*,*,#11295,.F.); +#15970=ORIENTED_EDGE('',*,*,#11296,.F.); +#15971=ORIENTED_EDGE('',*,*,#11297,.F.); +#15972=ORIENTED_EDGE('',*,*,#11298,.F.); +#15973=ORIENTED_EDGE('',*,*,#11299,.F.); +#15974=ORIENTED_EDGE('',*,*,#11300,.F.); +#15975=ORIENTED_EDGE('',*,*,#11301,.F.); +#15976=ORIENTED_EDGE('',*,*,#11302,.T.); +#15977=ORIENTED_EDGE('',*,*,#11296,.T.); +#15978=ORIENTED_EDGE('',*,*,#11303,.F.); +#15979=ORIENTED_EDGE('',*,*,#11304,.F.); +#15980=ORIENTED_EDGE('',*,*,#11305,.T.); +#15981=ORIENTED_EDGE('',*,*,#11306,.T.); +#15982=ORIENTED_EDGE('',*,*,#11307,.F.); +#15983=ORIENTED_EDGE('',*,*,#11308,.F.); +#15984=ORIENTED_EDGE('',*,*,#11309,.F.); +#15985=ORIENTED_EDGE('',*,*,#11310,.F.); +#15986=ORIENTED_EDGE('',*,*,#11311,.F.); +#15987=ORIENTED_EDGE('',*,*,#11306,.F.); +#15988=ORIENTED_EDGE('',*,*,#11312,.F.); +#15989=ORIENTED_EDGE('',*,*,#11313,.F.); +#15990=ORIENTED_EDGE('',*,*,#11314,.F.); +#15991=ORIENTED_EDGE('',*,*,#11315,.F.); +#15992=ORIENTED_EDGE('',*,*,#11316,.F.); +#15993=ORIENTED_EDGE('',*,*,#11317,.F.); +#15994=ORIENTED_EDGE('',*,*,#11318,.F.); +#15995=ORIENTED_EDGE('',*,*,#11319,.F.); +#15996=ORIENTED_EDGE('',*,*,#11320,.F.); +#15997=ORIENTED_EDGE('',*,*,#11321,.F.); +#15998=ORIENTED_EDGE('',*,*,#11322,.T.); +#15999=ORIENTED_EDGE('',*,*,#11316,.T.); +#16000=ORIENTED_EDGE('',*,*,#11323,.F.); +#16001=ORIENTED_EDGE('',*,*,#11324,.F.); +#16002=ORIENTED_EDGE('',*,*,#11325,.T.); +#16003=ORIENTED_EDGE('',*,*,#11326,.T.); +#16004=ORIENTED_EDGE('',*,*,#11327,.F.); +#16005=ORIENTED_EDGE('',*,*,#11328,.F.); +#16006=ORIENTED_EDGE('',*,*,#11329,.F.); +#16007=ORIENTED_EDGE('',*,*,#11330,.F.); +#16008=ORIENTED_EDGE('',*,*,#11331,.F.); +#16009=ORIENTED_EDGE('',*,*,#11326,.F.); +#16010=ORIENTED_EDGE('',*,*,#11332,.F.); +#16011=ORIENTED_EDGE('',*,*,#11333,.F.); +#16012=ORIENTED_EDGE('',*,*,#11334,.F.); +#16013=ORIENTED_EDGE('',*,*,#11335,.F.); +#16014=ORIENTED_EDGE('',*,*,#11336,.F.); +#16015=ORIENTED_EDGE('',*,*,#11337,.F.); +#16016=ORIENTED_EDGE('',*,*,#11338,.F.); +#16017=ORIENTED_EDGE('',*,*,#11339,.F.); +#16018=ORIENTED_EDGE('',*,*,#11340,.F.); +#16019=ORIENTED_EDGE('',*,*,#11341,.F.); +#16020=ORIENTED_EDGE('',*,*,#11342,.T.); +#16021=ORIENTED_EDGE('',*,*,#11336,.T.); +#16022=ORIENTED_EDGE('',*,*,#11343,.F.); +#16023=ORIENTED_EDGE('',*,*,#11344,.F.); +#16024=ORIENTED_EDGE('',*,*,#11345,.T.); +#16025=ORIENTED_EDGE('',*,*,#11346,.T.); +#16026=ORIENTED_EDGE('',*,*,#11347,.F.); +#16027=ORIENTED_EDGE('',*,*,#11348,.F.); +#16028=ORIENTED_EDGE('',*,*,#11349,.F.); +#16029=ORIENTED_EDGE('',*,*,#11350,.F.); +#16030=ORIENTED_EDGE('',*,*,#11351,.F.); +#16031=ORIENTED_EDGE('',*,*,#11346,.F.); +#16032=ORIENTED_EDGE('',*,*,#11352,.F.); +#16033=ORIENTED_EDGE('',*,*,#11353,.F.); +#16034=ORIENTED_EDGE('',*,*,#11354,.F.); +#16035=ORIENTED_EDGE('',*,*,#11355,.F.); +#16036=ORIENTED_EDGE('',*,*,#11356,.F.); +#16037=ORIENTED_EDGE('',*,*,#11357,.F.); +#16038=ORIENTED_EDGE('',*,*,#11358,.F.); +#16039=ORIENTED_EDGE('',*,*,#11359,.F.); +#16040=ORIENTED_EDGE('',*,*,#11360,.F.); +#16041=ORIENTED_EDGE('',*,*,#11361,.F.); +#16042=ORIENTED_EDGE('',*,*,#11362,.T.); +#16043=ORIENTED_EDGE('',*,*,#11356,.T.); +#16044=ORIENTED_EDGE('',*,*,#11363,.F.); +#16045=ORIENTED_EDGE('',*,*,#11364,.F.); +#16046=ORIENTED_EDGE('',*,*,#11365,.F.); +#16047=ORIENTED_EDGE('',*,*,#11366,.F.); +#16048=ORIENTED_EDGE('',*,*,#11367,.F.); +#16049=ORIENTED_EDGE('',*,*,#11368,.F.); +#16050=ORIENTED_EDGE('',*,*,#11369,.F.); +#16051=ORIENTED_EDGE('',*,*,#11370,.F.); +#16052=ORIENTED_EDGE('',*,*,#11371,.F.); +#16053=ORIENTED_EDGE('',*,*,#11372,.T.); +#16054=ORIENTED_EDGE('',*,*,#11366,.T.); +#16055=ORIENTED_EDGE('',*,*,#11373,.F.); +#16056=ORIENTED_EDGE('',*,*,#11374,.F.); +#16057=ORIENTED_EDGE('',*,*,#11375,.T.); +#16058=ORIENTED_EDGE('',*,*,#11376,.T.); +#16059=ORIENTED_EDGE('',*,*,#11377,.F.); +#16060=ORIENTED_EDGE('',*,*,#11378,.F.); +#16061=ORIENTED_EDGE('',*,*,#11379,.F.); +#16062=ORIENTED_EDGE('',*,*,#11380,.F.); +#16063=ORIENTED_EDGE('',*,*,#11381,.F.); +#16064=ORIENTED_EDGE('',*,*,#11376,.F.); +#16065=ORIENTED_EDGE('',*,*,#11382,.F.); +#16066=ORIENTED_EDGE('',*,*,#11383,.F.); +#16067=ORIENTED_EDGE('',*,*,#11384,.T.); +#16068=ORIENTED_EDGE('',*,*,#11385,.T.); +#16069=ORIENTED_EDGE('',*,*,#11386,.F.); +#16070=ORIENTED_EDGE('',*,*,#11387,.F.); +#16071=ORIENTED_EDGE('',*,*,#11388,.F.); +#16072=ORIENTED_EDGE('',*,*,#11389,.F.); +#16073=ORIENTED_EDGE('',*,*,#11237,.T.); +#16074=ORIENTED_EDGE('',*,*,#11241,.T.); +#16075=ORIENTED_EDGE('',*,*,#11390,.T.); +#16076=ORIENTED_EDGE('',*,*,#11391,.T.); +#16077=ORIENTED_EDGE('',*,*,#11392,.F.); +#16078=ORIENTED_EDGE('',*,*,#11393,.F.); +#16079=ORIENTED_EDGE('',*,*,#11394,.F.); +#16080=ORIENTED_EDGE('',*,*,#11395,.F.); +#16081=ORIENTED_EDGE('',*,*,#11253,.T.); +#16082=ORIENTED_EDGE('',*,*,#11245,.T.); +#16083=ORIENTED_EDGE('',*,*,#11244,.T.); +#16084=ORIENTED_EDGE('',*,*,#11396,.T.); +#16085=ORIENTED_EDGE('',*,*,#11397,.F.); +#16086=ORIENTED_EDGE('',*,*,#11390,.F.); +#16087=ORIENTED_EDGE('',*,*,#11249,.T.); +#16088=ORIENTED_EDGE('',*,*,#11398,.F.); +#16089=ORIENTED_EDGE('',*,*,#11399,.T.); +#16090=ORIENTED_EDGE('',*,*,#11396,.F.); +#16091=ORIENTED_EDGE('',*,*,#11248,.T.); +#16092=ORIENTED_EDGE('',*,*,#11400,.F.); +#16093=ORIENTED_EDGE('',*,*,#11401,.F.); +#16094=ORIENTED_EDGE('',*,*,#11398,.T.); +#16095=ORIENTED_EDGE('',*,*,#11402,.T.); +#16096=ORIENTED_EDGE('',*,*,#11403,.T.); +#16097=ORIENTED_EDGE('',*,*,#11404,.F.); +#16098=ORIENTED_EDGE('',*,*,#11405,.F.); +#16099=ORIENTED_EDGE('',*,*,#11406,.F.); +#16100=ORIENTED_EDGE('',*,*,#11407,.F.); +#16101=ORIENTED_EDGE('',*,*,#11257,.T.); +#16102=ORIENTED_EDGE('',*,*,#11261,.T.); +#16103=ORIENTED_EDGE('',*,*,#11408,.T.); +#16104=ORIENTED_EDGE('',*,*,#11409,.T.); +#16105=ORIENTED_EDGE('',*,*,#11410,.F.); +#16106=ORIENTED_EDGE('',*,*,#11411,.F.); +#16107=ORIENTED_EDGE('',*,*,#11412,.F.); +#16108=ORIENTED_EDGE('',*,*,#11413,.F.); +#16109=ORIENTED_EDGE('',*,*,#11267,.T.); +#16110=ORIENTED_EDGE('',*,*,#11271,.T.); +#16111=ORIENTED_EDGE('',*,*,#11414,.T.); +#16112=ORIENTED_EDGE('',*,*,#11415,.T.); +#16113=ORIENTED_EDGE('',*,*,#11416,.F.); +#16114=ORIENTED_EDGE('',*,*,#11417,.F.); +#16115=ORIENTED_EDGE('',*,*,#11418,.F.); +#16116=ORIENTED_EDGE('',*,*,#11419,.F.); +#16117=ORIENTED_EDGE('',*,*,#11277,.T.); +#16118=ORIENTED_EDGE('',*,*,#11281,.T.); +#16119=ORIENTED_EDGE('',*,*,#11420,.T.); +#16120=ORIENTED_EDGE('',*,*,#11421,.T.); +#16121=ORIENTED_EDGE('',*,*,#11422,.F.); +#16122=ORIENTED_EDGE('',*,*,#11423,.F.); +#16123=ORIENTED_EDGE('',*,*,#11424,.F.); +#16124=ORIENTED_EDGE('',*,*,#11425,.F.); +#16125=ORIENTED_EDGE('',*,*,#11287,.T.); +#16126=ORIENTED_EDGE('',*,*,#11291,.T.); +#16127=ORIENTED_EDGE('',*,*,#11426,.T.); +#16128=ORIENTED_EDGE('',*,*,#11427,.T.); +#16129=ORIENTED_EDGE('',*,*,#11428,.F.); +#16130=ORIENTED_EDGE('',*,*,#11429,.F.); +#16131=ORIENTED_EDGE('',*,*,#11430,.F.); +#16132=ORIENTED_EDGE('',*,*,#11431,.F.); +#16133=ORIENTED_EDGE('',*,*,#11303,.T.); +#16134=ORIENTED_EDGE('',*,*,#11295,.T.); +#16135=ORIENTED_EDGE('',*,*,#11294,.T.); +#16136=ORIENTED_EDGE('',*,*,#11432,.T.); +#16137=ORIENTED_EDGE('',*,*,#11433,.F.); +#16138=ORIENTED_EDGE('',*,*,#11426,.F.); +#16139=ORIENTED_EDGE('',*,*,#11299,.T.); +#16140=ORIENTED_EDGE('',*,*,#11434,.F.); +#16141=ORIENTED_EDGE('',*,*,#11435,.T.); +#16142=ORIENTED_EDGE('',*,*,#11432,.F.); +#16143=ORIENTED_EDGE('',*,*,#11298,.T.); +#16144=ORIENTED_EDGE('',*,*,#11436,.F.); +#16145=ORIENTED_EDGE('',*,*,#11437,.F.); +#16146=ORIENTED_EDGE('',*,*,#11434,.T.); +#16147=ORIENTED_EDGE('',*,*,#11438,.T.); +#16148=ORIENTED_EDGE('',*,*,#11439,.T.); +#16149=ORIENTED_EDGE('',*,*,#11440,.F.); +#16150=ORIENTED_EDGE('',*,*,#11441,.F.); +#16151=ORIENTED_EDGE('',*,*,#11442,.F.); +#16152=ORIENTED_EDGE('',*,*,#11443,.F.); +#16153=ORIENTED_EDGE('',*,*,#11307,.T.); +#16154=ORIENTED_EDGE('',*,*,#11311,.T.); +#16155=ORIENTED_EDGE('',*,*,#11444,.T.); +#16156=ORIENTED_EDGE('',*,*,#11445,.T.); +#16157=ORIENTED_EDGE('',*,*,#11446,.F.); +#16158=ORIENTED_EDGE('',*,*,#11447,.F.); +#16159=ORIENTED_EDGE('',*,*,#11448,.F.); +#16160=ORIENTED_EDGE('',*,*,#11449,.F.); +#16161=ORIENTED_EDGE('',*,*,#11323,.T.); +#16162=ORIENTED_EDGE('',*,*,#11315,.T.); +#16163=ORIENTED_EDGE('',*,*,#11314,.T.); +#16164=ORIENTED_EDGE('',*,*,#11450,.T.); +#16165=ORIENTED_EDGE('',*,*,#11451,.F.); +#16166=ORIENTED_EDGE('',*,*,#11444,.F.); +#16167=ORIENTED_EDGE('',*,*,#11319,.T.); +#16168=ORIENTED_EDGE('',*,*,#11452,.F.); +#16169=ORIENTED_EDGE('',*,*,#11453,.T.); +#16170=ORIENTED_EDGE('',*,*,#11450,.F.); +#16171=ORIENTED_EDGE('',*,*,#11318,.T.); +#16172=ORIENTED_EDGE('',*,*,#11454,.F.); +#16173=ORIENTED_EDGE('',*,*,#11455,.F.); +#16174=ORIENTED_EDGE('',*,*,#11452,.T.); +#16175=ORIENTED_EDGE('',*,*,#11456,.T.); +#16176=ORIENTED_EDGE('',*,*,#11457,.T.); +#16177=ORIENTED_EDGE('',*,*,#11458,.F.); +#16178=ORIENTED_EDGE('',*,*,#11459,.F.); +#16179=ORIENTED_EDGE('',*,*,#11460,.F.); +#16180=ORIENTED_EDGE('',*,*,#11461,.F.); +#16181=ORIENTED_EDGE('',*,*,#11327,.T.); +#16182=ORIENTED_EDGE('',*,*,#11331,.T.); +#16183=ORIENTED_EDGE('',*,*,#11462,.T.); +#16184=ORIENTED_EDGE('',*,*,#11463,.T.); +#16185=ORIENTED_EDGE('',*,*,#11464,.F.); +#16186=ORIENTED_EDGE('',*,*,#11465,.F.); +#16187=ORIENTED_EDGE('',*,*,#11466,.F.); +#16188=ORIENTED_EDGE('',*,*,#11467,.F.); +#16189=ORIENTED_EDGE('',*,*,#11343,.T.); +#16190=ORIENTED_EDGE('',*,*,#11335,.T.); +#16191=ORIENTED_EDGE('',*,*,#11334,.T.); +#16192=ORIENTED_EDGE('',*,*,#11468,.T.); +#16193=ORIENTED_EDGE('',*,*,#11469,.F.); +#16194=ORIENTED_EDGE('',*,*,#11462,.F.); +#16195=ORIENTED_EDGE('',*,*,#11339,.T.); +#16196=ORIENTED_EDGE('',*,*,#11470,.F.); +#16197=ORIENTED_EDGE('',*,*,#11471,.T.); +#16198=ORIENTED_EDGE('',*,*,#11468,.F.); +#16199=ORIENTED_EDGE('',*,*,#11338,.T.); +#16200=ORIENTED_EDGE('',*,*,#11472,.F.); +#16201=ORIENTED_EDGE('',*,*,#11473,.F.); +#16202=ORIENTED_EDGE('',*,*,#11470,.T.); +#16203=ORIENTED_EDGE('',*,*,#11474,.T.); +#16204=ORIENTED_EDGE('',*,*,#11475,.T.); +#16205=ORIENTED_EDGE('',*,*,#11476,.F.); +#16206=ORIENTED_EDGE('',*,*,#11477,.F.); +#16207=ORIENTED_EDGE('',*,*,#11478,.F.); +#16208=ORIENTED_EDGE('',*,*,#11479,.F.); +#16209=ORIENTED_EDGE('',*,*,#11347,.T.); +#16210=ORIENTED_EDGE('',*,*,#11351,.T.); +#16211=ORIENTED_EDGE('',*,*,#11480,.T.); +#16212=ORIENTED_EDGE('',*,*,#11481,.T.); +#16213=ORIENTED_EDGE('',*,*,#11482,.F.); +#16214=ORIENTED_EDGE('',*,*,#11483,.F.); +#16215=ORIENTED_EDGE('',*,*,#11484,.F.); +#16216=ORIENTED_EDGE('',*,*,#11485,.F.); +#16217=ORIENTED_EDGE('',*,*,#11363,.T.); +#16218=ORIENTED_EDGE('',*,*,#11355,.T.); +#16219=ORIENTED_EDGE('',*,*,#11354,.T.); +#16220=ORIENTED_EDGE('',*,*,#11486,.T.); +#16221=ORIENTED_EDGE('',*,*,#11487,.F.); +#16222=ORIENTED_EDGE('',*,*,#11480,.F.); +#16223=ORIENTED_EDGE('',*,*,#11359,.T.); +#16224=ORIENTED_EDGE('',*,*,#11488,.F.); +#16225=ORIENTED_EDGE('',*,*,#11489,.T.); +#16226=ORIENTED_EDGE('',*,*,#11486,.F.); +#16227=ORIENTED_EDGE('',*,*,#11358,.T.); +#16228=ORIENTED_EDGE('',*,*,#11490,.F.); +#16229=ORIENTED_EDGE('',*,*,#11491,.F.); +#16230=ORIENTED_EDGE('',*,*,#11488,.T.); +#16231=ORIENTED_EDGE('',*,*,#11492,.T.); +#16232=ORIENTED_EDGE('',*,*,#11493,.T.); +#16233=ORIENTED_EDGE('',*,*,#11494,.F.); +#16234=ORIENTED_EDGE('',*,*,#11495,.F.); +#16235=ORIENTED_EDGE('',*,*,#11496,.F.); +#16236=ORIENTED_EDGE('',*,*,#11497,.F.); +#16237=ORIENTED_EDGE('',*,*,#11373,.T.); +#16238=ORIENTED_EDGE('',*,*,#11365,.T.); +#16239=ORIENTED_EDGE('',*,*,#11364,.T.); +#16240=ORIENTED_EDGE('',*,*,#11498,.T.); +#16241=ORIENTED_EDGE('',*,*,#11499,.F.); +#16242=ORIENTED_EDGE('',*,*,#11492,.F.); +#16243=ORIENTED_EDGE('',*,*,#11369,.T.); +#16244=ORIENTED_EDGE('',*,*,#11500,.F.); +#16245=ORIENTED_EDGE('',*,*,#11501,.T.); +#16246=ORIENTED_EDGE('',*,*,#11498,.F.); +#16247=ORIENTED_EDGE('',*,*,#11368,.T.); +#16248=ORIENTED_EDGE('',*,*,#11502,.F.); +#16249=ORIENTED_EDGE('',*,*,#11503,.F.); +#16250=ORIENTED_EDGE('',*,*,#11500,.T.); +#16251=ORIENTED_EDGE('',*,*,#11222,.T.); +#16252=ORIENTED_EDGE('',*,*,#11504,.T.); +#16253=ORIENTED_EDGE('',*,*,#11505,.T.); +#16254=ORIENTED_EDGE('',*,*,#11264,.T.); +#16255=ORIENTED_EDGE('',*,*,#11413,.T.); +#16256=ORIENTED_EDGE('',*,*,#11506,.T.); +#16257=ORIENTED_EDGE('',*,*,#11507,.T.); +#16258=ORIENTED_EDGE('',*,*,#11341,.T.); +#16259=ORIENTED_EDGE('',*,*,#11467,.T.); +#16260=ORIENTED_EDGE('',*,*,#11508,.T.); +#16261=ORIENTED_EDGE('',*,*,#11509,.T.); +#16262=ORIENTED_EDGE('',*,*,#11344,.T.); +#16263=ORIENTED_EDGE('',*,*,#11479,.T.); +#16264=ORIENTED_EDGE('',*,*,#11510,.T.); +#16265=ORIENTED_EDGE('',*,*,#11511,.T.); +#16266=ORIENTED_EDGE('',*,*,#11274,.T.); +#16267=ORIENTED_EDGE('',*,*,#11419,.T.); +#16268=ORIENTED_EDGE('',*,*,#11512,.T.); +#16269=ORIENTED_EDGE('',*,*,#11513,.T.); +#16270=ORIENTED_EDGE('',*,*,#11254,.T.); +#16271=ORIENTED_EDGE('',*,*,#11407,.T.); +#16272=ORIENTED_EDGE('',*,*,#11514,.T.); +#16273=ORIENTED_EDGE('',*,*,#11515,.T.); +#16274=ORIENTED_EDGE('',*,*,#11324,.T.); +#16275=ORIENTED_EDGE('',*,*,#11461,.T.); +#16276=ORIENTED_EDGE('',*,*,#11516,.T.); +#16277=ORIENTED_EDGE('',*,*,#11517,.T.); +#16278=ORIENTED_EDGE('',*,*,#11361,.T.); +#16279=ORIENTED_EDGE('',*,*,#11485,.T.); +#16280=ORIENTED_EDGE('',*,*,#11518,.T.); +#16281=ORIENTED_EDGE('',*,*,#11519,.T.); +#16282=ORIENTED_EDGE('',*,*,#11520,.T.); +#16283=ORIENTED_EDGE('',*,*,#11521,.T.); +#16284=ORIENTED_EDGE('',*,*,#11284,.T.); +#16285=ORIENTED_EDGE('',*,*,#11425,.T.); +#16286=ORIENTED_EDGE('',*,*,#11522,.T.); +#16287=ORIENTED_EDGE('',*,*,#11523,.T.); +#16288=ORIENTED_EDGE('',*,*,#11251,.T.); +#16289=ORIENTED_EDGE('',*,*,#11395,.T.); +#16290=ORIENTED_EDGE('',*,*,#11524,.T.); +#16291=ORIENTED_EDGE('',*,*,#11525,.T.); +#16292=ORIENTED_EDGE('',*,*,#11321,.T.); +#16293=ORIENTED_EDGE('',*,*,#11449,.T.); +#16294=ORIENTED_EDGE('',*,*,#11526,.T.); +#16295=ORIENTED_EDGE('',*,*,#11527,.T.); +#16296=ORIENTED_EDGE('',*,*,#11371,.T.); +#16297=ORIENTED_EDGE('',*,*,#11497,.T.); +#16298=ORIENTED_EDGE('',*,*,#11528,.T.); +#16299=ORIENTED_EDGE('',*,*,#11529,.T.); +#16300=ORIENTED_EDGE('',*,*,#11301,.T.); +#16301=ORIENTED_EDGE('',*,*,#11431,.T.); +#16302=ORIENTED_EDGE('',*,*,#11530,.T.); +#16303=ORIENTED_EDGE('',*,*,#11531,.T.); +#16304=ORIENTED_EDGE('',*,*,#11234,.T.); +#16305=ORIENTED_EDGE('',*,*,#11389,.T.); +#16306=ORIENTED_EDGE('',*,*,#11532,.T.); +#16307=ORIENTED_EDGE('',*,*,#11533,.T.); +#16308=ORIENTED_EDGE('',*,*,#11304,.T.); +#16309=ORIENTED_EDGE('',*,*,#11443,.T.); +#16310=ORIENTED_EDGE('',*,*,#11534,.T.); +#16311=ORIENTED_EDGE('',*,*,#11535,.T.); +#16312=ORIENTED_EDGE('',*,*,#11374,.T.); +#16313=ORIENTED_EDGE('',*,*,#11536,.T.); +#16314=ORIENTED_EDGE('',*,*,#11537,.T.); +#16315=ORIENTED_EDGE('',*,*,#11538,.T.); +#16316=ORIENTED_EDGE('',*,*,#11539,.T.); +#16317=ORIENTED_EDGE('',*,*,#11233,.T.); +#16318=ORIENTED_EDGE('',*,*,#11214,.T.); +#16319=ORIENTED_EDGE('',*,*,#11540,.T.); +#16320=ORIENTED_EDGE('',*,*,#11541,.T.); +#16321=ORIENTED_EDGE('',*,*,#11542,.F.); +#16322=ORIENTED_EDGE('',*,*,#11543,.F.); +#16323=ORIENTED_EDGE('',*,*,#11544,.F.); +#16324=ORIENTED_EDGE('',*,*,#11536,.F.); +#16325=ORIENTED_EDGE('',*,*,#11377,.T.); +#16326=ORIENTED_EDGE('',*,*,#11381,.T.); +#16327=ORIENTED_EDGE('',*,*,#11545,.T.); +#16328=ORIENTED_EDGE('',*,*,#11546,.T.); +#16329=ORIENTED_EDGE('',*,*,#11250,.T.); +#16330=ORIENTED_EDGE('',*,*,#11546,.F.); +#16331=ORIENTED_EDGE('',*,*,#11239,.T.); +#16332=ORIENTED_EDGE('',*,*,#11547,.F.); +#16333=ORIENTED_EDGE('',*,*,#11548,.T.); +#16334=ORIENTED_EDGE('',*,*,#11549,.F.); +#16335=ORIENTED_EDGE('',*,*,#11379,.T.); +#16336=ORIENTED_EDGE('',*,*,#11550,.F.); +#16337=ORIENTED_EDGE('',*,*,#11551,.T.); +#16338=ORIENTED_EDGE('',*,*,#11552,.F.); +#16339=ORIENTED_EDGE('',*,*,#11269,.T.); +#16340=ORIENTED_EDGE('',*,*,#11553,.F.); +#16341=ORIENTED_EDGE('',*,*,#11554,.T.); +#16342=ORIENTED_EDGE('',*,*,#11555,.F.); +#16343=ORIENTED_EDGE('',*,*,#11349,.T.); +#16344=ORIENTED_EDGE('',*,*,#11556,.F.); +#16345=ORIENTED_EDGE('',*,*,#11557,.T.); +#16346=ORIENTED_EDGE('',*,*,#11558,.F.); +#16347=ORIENTED_EDGE('',*,*,#11559,.T.); +#16348=ORIENTED_EDGE('',*,*,#11560,.T.); +#16349=ORIENTED_EDGE('',*,*,#11263,.T.); +#16350=ORIENTED_EDGE('',*,*,#11560,.F.); +#16351=ORIENTED_EDGE('',*,*,#11329,.T.); +#16352=ORIENTED_EDGE('',*,*,#11561,.F.); +#16353=ORIENTED_EDGE('',*,*,#11562,.T.); +#16354=ORIENTED_EDGE('',*,*,#11563,.F.); +#16355=ORIENTED_EDGE('',*,*,#11564,.T.); +#16356=ORIENTED_EDGE('',*,*,#11565,.T.); +#16357=ORIENTED_EDGE('',*,*,#11283,.T.); +#16358=ORIENTED_EDGE('',*,*,#11565,.F.); +#16359=ORIENTED_EDGE('',*,*,#11566,.T.); +#16360=ORIENTED_EDGE('',*,*,#11567,.T.); +#16361=ORIENTED_EDGE('',*,*,#11340,.T.); +#16362=ORIENTED_EDGE('',*,*,#11567,.F.); +#16363=ORIENTED_EDGE('',*,*,#11568,.T.); +#16364=ORIENTED_EDGE('',*,*,#11569,.T.); +#16365=ORIENTED_EDGE('',*,*,#11213,.T.); +#16366=ORIENTED_EDGE('',*,*,#11569,.F.); +#16367=ORIENTED_EDGE('',*,*,#11309,.T.); +#16368=ORIENTED_EDGE('',*,*,#11570,.F.); +#16369=ORIENTED_EDGE('',*,*,#11571,.T.); +#16370=ORIENTED_EDGE('',*,*,#11572,.F.); +#16371=ORIENTED_EDGE('',*,*,#11573,.T.); +#16372=ORIENTED_EDGE('',*,*,#11574,.T.); +#16373=ORIENTED_EDGE('',*,*,#11320,.T.); +#16374=ORIENTED_EDGE('',*,*,#11574,.F.); +#16375=ORIENTED_EDGE('',*,*,#11575,.T.); +#16376=ORIENTED_EDGE('',*,*,#11576,.T.); +#16377=ORIENTED_EDGE('',*,*,#11293,.T.); +#16378=ORIENTED_EDGE('',*,*,#11576,.F.); +#16379=ORIENTED_EDGE('',*,*,#11577,.T.); +#16380=ORIENTED_EDGE('',*,*,#11578,.T.); +#16381=ORIENTED_EDGE('',*,*,#11370,.T.); +#16382=ORIENTED_EDGE('',*,*,#11578,.F.); +#16383=ORIENTED_EDGE('',*,*,#11579,.T.); +#16384=ORIENTED_EDGE('',*,*,#11580,.T.); +#16385=ORIENTED_EDGE('',*,*,#11243,.T.); +#16386=ORIENTED_EDGE('',*,*,#11580,.F.); +#16387=ORIENTED_EDGE('',*,*,#11581,.T.); +#16388=ORIENTED_EDGE('',*,*,#11582,.T.); +#16389=ORIENTED_EDGE('',*,*,#11383,.T.); +#16390=ORIENTED_EDGE('',*,*,#11582,.F.); +#16391=ORIENTED_EDGE('',*,*,#11583,.T.); +#16392=ORIENTED_EDGE('',*,*,#11584,.T.); +#16393=ORIENTED_EDGE('',*,*,#11273,.T.); +#16394=ORIENTED_EDGE('',*,*,#11584,.F.); +#16395=ORIENTED_EDGE('',*,*,#11585,.T.); +#16396=ORIENTED_EDGE('',*,*,#11586,.T.); +#16397=ORIENTED_EDGE('',*,*,#11353,.T.); +#16398=ORIENTED_EDGE('',*,*,#11586,.F.); +#16399=ORIENTED_EDGE('',*,*,#11259,.T.); +#16400=ORIENTED_EDGE('',*,*,#11587,.F.); +#16401=ORIENTED_EDGE('',*,*,#11588,.T.); +#16402=ORIENTED_EDGE('',*,*,#11589,.F.); +#16403=ORIENTED_EDGE('',*,*,#11590,.T.); +#16404=ORIENTED_EDGE('',*,*,#11591,.T.); +#16405=ORIENTED_EDGE('',*,*,#11360,.T.); +#16406=ORIENTED_EDGE('',*,*,#11591,.F.); +#16407=ORIENTED_EDGE('',*,*,#11592,.T.); +#16408=ORIENTED_EDGE('',*,*,#11593,.T.); +#16409=ORIENTED_EDGE('',*,*,#11333,.T.); +#16410=ORIENTED_EDGE('',*,*,#11593,.F.); +#16411=ORIENTED_EDGE('',*,*,#11279,.T.); +#16412=ORIENTED_EDGE('',*,*,#11594,.F.); +#16413=ORIENTED_EDGE('',*,*,#11595,.T.); +#16414=ORIENTED_EDGE('',*,*,#11596,.F.); +#16415=ORIENTED_EDGE('',*,*,#11597,.T.); +#16416=ORIENTED_EDGE('',*,*,#11598,.T.); +#16417=ORIENTED_EDGE('',*,*,#11313,.T.); +#16418=ORIENTED_EDGE('',*,*,#11598,.F.); +#16419=ORIENTED_EDGE('',*,*,#11599,.T.); +#16420=ORIENTED_EDGE('',*,*,#11600,.T.); +#16421=ORIENTED_EDGE('',*,*,#11300,.T.); +#16422=ORIENTED_EDGE('',*,*,#11600,.F.); +#16423=ORIENTED_EDGE('',*,*,#11289,.T.); +#16424=ORIENTED_EDGE('',*,*,#11601,.F.); +#16425=ORIENTED_EDGE('',*,*,#11602,.T.); +#16426=ORIENTED_EDGE('',*,*,#11603,.F.); +#16427=ORIENTED_EDGE('',*,*,#11238,.T.); +#16428=ORIENTED_EDGE('',*,*,#11604,.F.); +#16429=ORIENTED_EDGE('',*,*,#11605,.F.); +#16430=ORIENTED_EDGE('',*,*,#11547,.T.); +#16431=ORIENTED_EDGE('',*,*,#11240,.T.); +#16432=ORIENTED_EDGE('',*,*,#11549,.T.); +#16433=ORIENTED_EDGE('',*,*,#11606,.F.); +#16434=ORIENTED_EDGE('',*,*,#11384,.F.); +#16435=ORIENTED_EDGE('',*,*,#11380,.T.); +#16436=ORIENTED_EDGE('',*,*,#11552,.T.); +#16437=ORIENTED_EDGE('',*,*,#11607,.F.); +#16438=ORIENTED_EDGE('',*,*,#11540,.F.); +#16439=ORIENTED_EDGE('',*,*,#11378,.T.); +#16440=ORIENTED_EDGE('',*,*,#11608,.F.); +#16441=ORIENTED_EDGE('',*,*,#11609,.F.); +#16442=ORIENTED_EDGE('',*,*,#11550,.T.); +#16443=ORIENTED_EDGE('',*,*,#11268,.T.); +#16444=ORIENTED_EDGE('',*,*,#11610,.F.); +#16445=ORIENTED_EDGE('',*,*,#11611,.F.); +#16446=ORIENTED_EDGE('',*,*,#11553,.T.); +#16447=ORIENTED_EDGE('',*,*,#11270,.T.); +#16448=ORIENTED_EDGE('',*,*,#11555,.T.); +#16449=ORIENTED_EDGE('',*,*,#11612,.F.); +#16450=ORIENTED_EDGE('',*,*,#11408,.F.); +#16451=ORIENTED_EDGE('',*,*,#11348,.T.); +#16452=ORIENTED_EDGE('',*,*,#11613,.F.); +#16453=ORIENTED_EDGE('',*,*,#11614,.F.); +#16454=ORIENTED_EDGE('',*,*,#11556,.T.); +#16455=ORIENTED_EDGE('',*,*,#11350,.T.); +#16456=ORIENTED_EDGE('',*,*,#11558,.T.); +#16457=ORIENTED_EDGE('',*,*,#11615,.F.); +#16458=ORIENTED_EDGE('',*,*,#11474,.F.); +#16459=ORIENTED_EDGE('',*,*,#11328,.T.); +#16460=ORIENTED_EDGE('',*,*,#11616,.F.); +#16461=ORIENTED_EDGE('',*,*,#11617,.F.); +#16462=ORIENTED_EDGE('',*,*,#11561,.T.); +#16463=ORIENTED_EDGE('',*,*,#11330,.T.); +#16464=ORIENTED_EDGE('',*,*,#11563,.T.); +#16465=ORIENTED_EDGE('',*,*,#11618,.F.); +#16466=ORIENTED_EDGE('',*,*,#11456,.F.); +#16467=ORIENTED_EDGE('',*,*,#11310,.T.); +#16468=ORIENTED_EDGE('',*,*,#11572,.T.); +#16469=ORIENTED_EDGE('',*,*,#11619,.F.); +#16470=ORIENTED_EDGE('',*,*,#11438,.F.); +#16471=ORIENTED_EDGE('',*,*,#11308,.T.); +#16472=ORIENTED_EDGE('',*,*,#11620,.F.); +#16473=ORIENTED_EDGE('',*,*,#11621,.F.); +#16474=ORIENTED_EDGE('',*,*,#11570,.T.); +#16475=ORIENTED_EDGE('',*,*,#11258,.T.); +#16476=ORIENTED_EDGE('',*,*,#11622,.F.); +#16477=ORIENTED_EDGE('',*,*,#11623,.F.); +#16478=ORIENTED_EDGE('',*,*,#11587,.T.); +#16479=ORIENTED_EDGE('',*,*,#11260,.T.); +#16480=ORIENTED_EDGE('',*,*,#11589,.T.); +#16481=ORIENTED_EDGE('',*,*,#11624,.F.); +#16482=ORIENTED_EDGE('',*,*,#11402,.F.); +#16483=ORIENTED_EDGE('',*,*,#11278,.T.); +#16484=ORIENTED_EDGE('',*,*,#11625,.F.); +#16485=ORIENTED_EDGE('',*,*,#11626,.F.); +#16486=ORIENTED_EDGE('',*,*,#11594,.T.); +#16487=ORIENTED_EDGE('',*,*,#11280,.T.); +#16488=ORIENTED_EDGE('',*,*,#11596,.T.); +#16489=ORIENTED_EDGE('',*,*,#11627,.F.); +#16490=ORIENTED_EDGE('',*,*,#11414,.F.); +#16491=ORIENTED_EDGE('',*,*,#11290,.T.); +#16492=ORIENTED_EDGE('',*,*,#11603,.T.); +#16493=ORIENTED_EDGE('',*,*,#11628,.F.); +#16494=ORIENTED_EDGE('',*,*,#11420,.F.); +#16495=ORIENTED_EDGE('',*,*,#11288,.T.); +#16496=ORIENTED_EDGE('',*,*,#11629,.F.); +#16497=ORIENTED_EDGE('',*,*,#11630,.F.); +#16498=ORIENTED_EDGE('',*,*,#11601,.T.); +#16499=ORIENTED_EDGE('',*,*,#11484,.T.); +#16500=ORIENTED_EDGE('',*,*,#11631,.F.); +#16501=ORIENTED_EDGE('',*,*,#11632,.F.); +#16502=ORIENTED_EDGE('',*,*,#11518,.F.); +#16503=ORIENTED_EDGE('',*,*,#11229,.T.); +#16504=ORIENTED_EDGE('',*,*,#11539,.F.); +#16505=ORIENTED_EDGE('',*,*,#11633,.T.); +#16506=ORIENTED_EDGE('',*,*,#11634,.F.); +#16507=ORIENTED_EDGE('',*,*,#11635,.T.); +#16508=ORIENTED_EDGE('',*,*,#11510,.F.); +#16509=ORIENTED_EDGE('',*,*,#11478,.T.); +#16510=ORIENTED_EDGE('',*,*,#11636,.F.); +#16511=ORIENTED_EDGE('',*,*,#11460,.T.); +#16512=ORIENTED_EDGE('',*,*,#11637,.F.); +#16513=ORIENTED_EDGE('',*,*,#11638,.T.); +#16514=ORIENTED_EDGE('',*,*,#11516,.F.); +#16515=ORIENTED_EDGE('',*,*,#11466,.T.); +#16516=ORIENTED_EDGE('',*,*,#11639,.F.); +#16517=ORIENTED_EDGE('',*,*,#11640,.T.); +#16518=ORIENTED_EDGE('',*,*,#11508,.F.); +#16519=ORIENTED_EDGE('',*,*,#11641,.T.); +#16520=ORIENTED_EDGE('',*,*,#11504,.F.); +#16521=ORIENTED_EDGE('',*,*,#11221,.T.); +#16522=ORIENTED_EDGE('',*,*,#11642,.F.); +#16523=ORIENTED_EDGE('',*,*,#11412,.T.); +#16524=ORIENTED_EDGE('',*,*,#11643,.F.); +#16525=ORIENTED_EDGE('',*,*,#11644,.T.); +#16526=ORIENTED_EDGE('',*,*,#11506,.F.); +#16527=ORIENTED_EDGE('',*,*,#11645,.T.); +#16528=ORIENTED_EDGE('',*,*,#11512,.F.); +#16529=ORIENTED_EDGE('',*,*,#11418,.T.); +#16530=ORIENTED_EDGE('',*,*,#11646,.F.); +#16531=ORIENTED_EDGE('',*,*,#11647,.T.); +#16532=ORIENTED_EDGE('',*,*,#11520,.F.); +#16533=ORIENTED_EDGE('',*,*,#11648,.T.); +#16534=ORIENTED_EDGE('',*,*,#11649,.F.); +#16535=ORIENTED_EDGE('',*,*,#11544,.T.); +#16536=ORIENTED_EDGE('',*,*,#11650,.F.); +#16537=ORIENTED_EDGE('',*,*,#11651,.F.); +#16538=ORIENTED_EDGE('',*,*,#11537,.F.); +#16539=ORIENTED_EDGE('',*,*,#11496,.T.); +#16540=ORIENTED_EDGE('',*,*,#11652,.F.); +#16541=ORIENTED_EDGE('',*,*,#11653,.T.); +#16542=ORIENTED_EDGE('',*,*,#11528,.F.); +#16543=ORIENTED_EDGE('',*,*,#11654,.T.); +#16544=ORIENTED_EDGE('',*,*,#11522,.F.); +#16545=ORIENTED_EDGE('',*,*,#11424,.T.); +#16546=ORIENTED_EDGE('',*,*,#11655,.F.); +#16547=ORIENTED_EDGE('',*,*,#11656,.T.); +#16548=ORIENTED_EDGE('',*,*,#11530,.F.); +#16549=ORIENTED_EDGE('',*,*,#11430,.T.); +#16550=ORIENTED_EDGE('',*,*,#11657,.F.); +#16551=ORIENTED_EDGE('',*,*,#11658,.T.); +#16552=ORIENTED_EDGE('',*,*,#11532,.F.); +#16553=ORIENTED_EDGE('',*,*,#11388,.T.); +#16554=ORIENTED_EDGE('',*,*,#11659,.F.); +#16555=ORIENTED_EDGE('',*,*,#11442,.T.); +#16556=ORIENTED_EDGE('',*,*,#11660,.F.); +#16557=ORIENTED_EDGE('',*,*,#11661,.T.); +#16558=ORIENTED_EDGE('',*,*,#11534,.F.); +#16559=ORIENTED_EDGE('',*,*,#11662,.T.); +#16560=ORIENTED_EDGE('',*,*,#11524,.F.); +#16561=ORIENTED_EDGE('',*,*,#11394,.T.); +#16562=ORIENTED_EDGE('',*,*,#11663,.F.); +#16563=ORIENTED_EDGE('',*,*,#11645,.F.); +#16564=ORIENTED_EDGE('',*,*,#11664,.F.); +#16565=ORIENTED_EDGE('',*,*,#11665,.T.); +#16566=ORIENTED_EDGE('',*,*,#11666,.T.); +#16567=ORIENTED_EDGE('',*,*,#11622,.T.); +#16568=ORIENTED_EDGE('',*,*,#11262,.T.); +#16569=ORIENTED_EDGE('',*,*,#11255,.F.); +#16570=ORIENTED_EDGE('',*,*,#11513,.F.); +#16571=ORIENTED_EDGE('',*,*,#11667,.F.); +#16572=ORIENTED_EDGE('',*,*,#11404,.T.); +#16573=ORIENTED_EDGE('',*,*,#11668,.T.); +#16574=ORIENTED_EDGE('',*,*,#11665,.F.); +#16575=ORIENTED_EDGE('',*,*,#11624,.T.); +#16576=ORIENTED_EDGE('',*,*,#11588,.F.); +#16577=ORIENTED_EDGE('',*,*,#11623,.T.); +#16578=ORIENTED_EDGE('',*,*,#11666,.F.); +#16579=ORIENTED_EDGE('',*,*,#11668,.F.); +#16580=ORIENTED_EDGE('',*,*,#11403,.F.); +#16581=ORIENTED_EDGE('',*,*,#11559,.F.); +#16582=ORIENTED_EDGE('',*,*,#11641,.F.); +#16583=ORIENTED_EDGE('',*,*,#11669,.F.); +#16584=ORIENTED_EDGE('',*,*,#11670,.T.); +#16585=ORIENTED_EDGE('',*,*,#11671,.T.); +#16586=ORIENTED_EDGE('',*,*,#11610,.T.); +#16587=ORIENTED_EDGE('',*,*,#11272,.T.); +#16588=ORIENTED_EDGE('',*,*,#11265,.F.); +#16589=ORIENTED_EDGE('',*,*,#11505,.F.); +#16590=ORIENTED_EDGE('',*,*,#11672,.F.); +#16591=ORIENTED_EDGE('',*,*,#11410,.T.); +#16592=ORIENTED_EDGE('',*,*,#11673,.T.); +#16593=ORIENTED_EDGE('',*,*,#11670,.F.); +#16594=ORIENTED_EDGE('',*,*,#11612,.T.); +#16595=ORIENTED_EDGE('',*,*,#11554,.F.); +#16596=ORIENTED_EDGE('',*,*,#11611,.T.); +#16597=ORIENTED_EDGE('',*,*,#11671,.F.); +#16598=ORIENTED_EDGE('',*,*,#11673,.F.); +#16599=ORIENTED_EDGE('',*,*,#11409,.F.); +#16600=ORIENTED_EDGE('',*,*,#11583,.F.); +#16601=ORIENTED_EDGE('',*,*,#11656,.F.); +#16602=ORIENTED_EDGE('',*,*,#11674,.F.); +#16603=ORIENTED_EDGE('',*,*,#11675,.T.); +#16604=ORIENTED_EDGE('',*,*,#11676,.T.); +#16605=ORIENTED_EDGE('',*,*,#11604,.T.); +#16606=ORIENTED_EDGE('',*,*,#11242,.T.); +#16607=ORIENTED_EDGE('',*,*,#11235,.F.); +#16608=ORIENTED_EDGE('',*,*,#11531,.F.); +#16609=ORIENTED_EDGE('',*,*,#11677,.F.); +#16610=ORIENTED_EDGE('',*,*,#11386,.T.); +#16611=ORIENTED_EDGE('',*,*,#11678,.T.); +#16612=ORIENTED_EDGE('',*,*,#11675,.F.); +#16613=ORIENTED_EDGE('',*,*,#11605,.T.); +#16614=ORIENTED_EDGE('',*,*,#11676,.F.); +#16615=ORIENTED_EDGE('',*,*,#11678,.F.); +#16616=ORIENTED_EDGE('',*,*,#11385,.F.); +#16617=ORIENTED_EDGE('',*,*,#11606,.T.); +#16618=ORIENTED_EDGE('',*,*,#11548,.F.); +#16619=ORIENTED_EDGE('',*,*,#11579,.F.); +#16620=ORIENTED_EDGE('',*,*,#11654,.F.); +#16621=ORIENTED_EDGE('',*,*,#11679,.F.); +#16622=ORIENTED_EDGE('',*,*,#11680,.T.); +#16623=ORIENTED_EDGE('',*,*,#11681,.T.); +#16624=ORIENTED_EDGE('',*,*,#11400,.T.); +#16625=ORIENTED_EDGE('',*,*,#11247,.T.); +#16626=ORIENTED_EDGE('',*,*,#11252,.F.); +#16627=ORIENTED_EDGE('',*,*,#11523,.F.); +#16628=ORIENTED_EDGE('',*,*,#11682,.F.); +#16629=ORIENTED_EDGE('',*,*,#11392,.T.); +#16630=ORIENTED_EDGE('',*,*,#11683,.T.); +#16631=ORIENTED_EDGE('',*,*,#11680,.F.); +#16632=ORIENTED_EDGE('',*,*,#11397,.T.); +#16633=ORIENTED_EDGE('',*,*,#11399,.F.); +#16634=ORIENTED_EDGE('',*,*,#11401,.T.); +#16635=ORIENTED_EDGE('',*,*,#11681,.F.); +#16636=ORIENTED_EDGE('',*,*,#11683,.F.); +#16637=ORIENTED_EDGE('',*,*,#11391,.F.); +#16638=ORIENTED_EDGE('',*,*,#11545,.F.); +#16639=ORIENTED_EDGE('',*,*,#11684,.F.); +#16640=ORIENTED_EDGE('',*,*,#11446,.T.); +#16641=ORIENTED_EDGE('',*,*,#11685,.T.); +#16642=ORIENTED_EDGE('',*,*,#11686,.F.); +#16643=ORIENTED_EDGE('',*,*,#11662,.F.); +#16644=ORIENTED_EDGE('',*,*,#11687,.F.); +#16645=ORIENTED_EDGE('',*,*,#11686,.T.); +#16646=ORIENTED_EDGE('',*,*,#11688,.T.); +#16647=ORIENTED_EDGE('',*,*,#11454,.T.); +#16648=ORIENTED_EDGE('',*,*,#11317,.T.); +#16649=ORIENTED_EDGE('',*,*,#11322,.F.); +#16650=ORIENTED_EDGE('',*,*,#11525,.F.); +#16651=ORIENTED_EDGE('',*,*,#11451,.T.); +#16652=ORIENTED_EDGE('',*,*,#11453,.F.); +#16653=ORIENTED_EDGE('',*,*,#11455,.T.); +#16654=ORIENTED_EDGE('',*,*,#11688,.F.); +#16655=ORIENTED_EDGE('',*,*,#11685,.F.); +#16656=ORIENTED_EDGE('',*,*,#11445,.F.); +#16657=ORIENTED_EDGE('',*,*,#11573,.F.); +#16658=ORIENTED_EDGE('',*,*,#11658,.F.); +#16659=ORIENTED_EDGE('',*,*,#11689,.F.); +#16660=ORIENTED_EDGE('',*,*,#11690,.T.); +#16661=ORIENTED_EDGE('',*,*,#11691,.T.); +#16662=ORIENTED_EDGE('',*,*,#11620,.T.); +#16663=ORIENTED_EDGE('',*,*,#11312,.T.); +#16664=ORIENTED_EDGE('',*,*,#11305,.F.); +#16665=ORIENTED_EDGE('',*,*,#11533,.F.); +#16666=ORIENTED_EDGE('',*,*,#11692,.F.); +#16667=ORIENTED_EDGE('',*,*,#11440,.T.); +#16668=ORIENTED_EDGE('',*,*,#11693,.T.); +#16669=ORIENTED_EDGE('',*,*,#11690,.F.); +#16670=ORIENTED_EDGE('',*,*,#11619,.T.); +#16671=ORIENTED_EDGE('',*,*,#11571,.F.); +#16672=ORIENTED_EDGE('',*,*,#11621,.T.); +#16673=ORIENTED_EDGE('',*,*,#11691,.F.); +#16674=ORIENTED_EDGE('',*,*,#11693,.F.); +#16675=ORIENTED_EDGE('',*,*,#11439,.F.); +#16676=ORIENTED_EDGE('',*,*,#11597,.F.); +#16677=ORIENTED_EDGE('',*,*,#11644,.F.); +#16678=ORIENTED_EDGE('',*,*,#11694,.F.); +#16679=ORIENTED_EDGE('',*,*,#11695,.T.); +#16680=ORIENTED_EDGE('',*,*,#11696,.T.); +#16681=ORIENTED_EDGE('',*,*,#11472,.T.); +#16682=ORIENTED_EDGE('',*,*,#11337,.T.); +#16683=ORIENTED_EDGE('',*,*,#11342,.F.); +#16684=ORIENTED_EDGE('',*,*,#11507,.F.); +#16685=ORIENTED_EDGE('',*,*,#11697,.F.); +#16686=ORIENTED_EDGE('',*,*,#11464,.T.); +#16687=ORIENTED_EDGE('',*,*,#11698,.T.); +#16688=ORIENTED_EDGE('',*,*,#11695,.F.); +#16689=ORIENTED_EDGE('',*,*,#11469,.T.); +#16690=ORIENTED_EDGE('',*,*,#11471,.F.); +#16691=ORIENTED_EDGE('',*,*,#11473,.T.); +#16692=ORIENTED_EDGE('',*,*,#11696,.F.); +#16693=ORIENTED_EDGE('',*,*,#11698,.F.); +#16694=ORIENTED_EDGE('',*,*,#11463,.F.); +#16695=ORIENTED_EDGE('',*,*,#11566,.F.); +#16696=ORIENTED_EDGE('',*,*,#11638,.F.); +#16697=ORIENTED_EDGE('',*,*,#11699,.F.); +#16698=ORIENTED_EDGE('',*,*,#11700,.T.); +#16699=ORIENTED_EDGE('',*,*,#11701,.T.); +#16700=ORIENTED_EDGE('',*,*,#11490,.T.); +#16701=ORIENTED_EDGE('',*,*,#11357,.T.); +#16702=ORIENTED_EDGE('',*,*,#11362,.F.); +#16703=ORIENTED_EDGE('',*,*,#11517,.F.); +#16704=ORIENTED_EDGE('',*,*,#11702,.F.); +#16705=ORIENTED_EDGE('',*,*,#11482,.T.); +#16706=ORIENTED_EDGE('',*,*,#11703,.T.); +#16707=ORIENTED_EDGE('',*,*,#11700,.F.); +#16708=ORIENTED_EDGE('',*,*,#11487,.T.); +#16709=ORIENTED_EDGE('',*,*,#11489,.F.); +#16710=ORIENTED_EDGE('',*,*,#11491,.T.); +#16711=ORIENTED_EDGE('',*,*,#11701,.F.); +#16712=ORIENTED_EDGE('',*,*,#11703,.F.); +#16713=ORIENTED_EDGE('',*,*,#11481,.F.); +#16714=ORIENTED_EDGE('',*,*,#11590,.F.); +#16715=ORIENTED_EDGE('',*,*,#11635,.F.); +#16716=ORIENTED_EDGE('',*,*,#11704,.F.); +#16717=ORIENTED_EDGE('',*,*,#11705,.T.); +#16718=ORIENTED_EDGE('',*,*,#11706,.T.); +#16719=ORIENTED_EDGE('',*,*,#11625,.T.); +#16720=ORIENTED_EDGE('',*,*,#11282,.T.); +#16721=ORIENTED_EDGE('',*,*,#11275,.F.); +#16722=ORIENTED_EDGE('',*,*,#11511,.F.); +#16723=ORIENTED_EDGE('',*,*,#11707,.F.); +#16724=ORIENTED_EDGE('',*,*,#11416,.T.); +#16725=ORIENTED_EDGE('',*,*,#11708,.T.); +#16726=ORIENTED_EDGE('',*,*,#11705,.F.); +#16727=ORIENTED_EDGE('',*,*,#11627,.T.); +#16728=ORIENTED_EDGE('',*,*,#11595,.F.); +#16729=ORIENTED_EDGE('',*,*,#11626,.T.); +#16730=ORIENTED_EDGE('',*,*,#11706,.F.); +#16731=ORIENTED_EDGE('',*,*,#11708,.F.); +#16732=ORIENTED_EDGE('',*,*,#11415,.F.); +#16733=ORIENTED_EDGE('',*,*,#11564,.F.); +#16734=ORIENTED_EDGE('',*,*,#11709,.F.); +#16735=ORIENTED_EDGE('',*,*,#11219,.T.); +#16736=ORIENTED_EDGE('',*,*,#11710,.T.); +#16737=ORIENTED_EDGE('',*,*,#11231,.F.); +#16738=ORIENTED_EDGE('',*,*,#11224,.T.); +#16739=ORIENTED_EDGE('',*,*,#11226,.F.); +#16740=ORIENTED_EDGE('',*,*,#11228,.T.); +#16741=ORIENTED_EDGE('',*,*,#11232,.F.); +#16742=ORIENTED_EDGE('',*,*,#11710,.F.); +#16743=ORIENTED_EDGE('',*,*,#11218,.F.); +#16744=ORIENTED_EDGE('',*,*,#11568,.F.); +#16745=ORIENTED_EDGE('',*,*,#11653,.F.); +#16746=ORIENTED_EDGE('',*,*,#11711,.F.); +#16747=ORIENTED_EDGE('',*,*,#11712,.T.); +#16748=ORIENTED_EDGE('',*,*,#11713,.T.); +#16749=ORIENTED_EDGE('',*,*,#11436,.T.); +#16750=ORIENTED_EDGE('',*,*,#11297,.T.); +#16751=ORIENTED_EDGE('',*,*,#11302,.F.); +#16752=ORIENTED_EDGE('',*,*,#11529,.F.); +#16753=ORIENTED_EDGE('',*,*,#11714,.F.); +#16754=ORIENTED_EDGE('',*,*,#11428,.T.); +#16755=ORIENTED_EDGE('',*,*,#11715,.T.); +#16756=ORIENTED_EDGE('',*,*,#11712,.F.); +#16757=ORIENTED_EDGE('',*,*,#11433,.T.); +#16758=ORIENTED_EDGE('',*,*,#11435,.F.); +#16759=ORIENTED_EDGE('',*,*,#11437,.T.); +#16760=ORIENTED_EDGE('',*,*,#11713,.F.); +#16761=ORIENTED_EDGE('',*,*,#11715,.F.); +#16762=ORIENTED_EDGE('',*,*,#11427,.F.); +#16763=ORIENTED_EDGE('',*,*,#11599,.F.); +#16764=ORIENTED_EDGE('',*,*,#11647,.F.); +#16765=ORIENTED_EDGE('',*,*,#11716,.F.); +#16766=ORIENTED_EDGE('',*,*,#11717,.T.); +#16767=ORIENTED_EDGE('',*,*,#11718,.T.); +#16768=ORIENTED_EDGE('',*,*,#11629,.T.); +#16769=ORIENTED_EDGE('',*,*,#11292,.T.); +#16770=ORIENTED_EDGE('',*,*,#11285,.F.); +#16771=ORIENTED_EDGE('',*,*,#11521,.F.); +#16772=ORIENTED_EDGE('',*,*,#11719,.F.); +#16773=ORIENTED_EDGE('',*,*,#11422,.T.); +#16774=ORIENTED_EDGE('',*,*,#11720,.T.); +#16775=ORIENTED_EDGE('',*,*,#11717,.F.); +#16776=ORIENTED_EDGE('',*,*,#11628,.T.); +#16777=ORIENTED_EDGE('',*,*,#11602,.F.); +#16778=ORIENTED_EDGE('',*,*,#11630,.T.); +#16779=ORIENTED_EDGE('',*,*,#11718,.F.); +#16780=ORIENTED_EDGE('',*,*,#11720,.F.); +#16781=ORIENTED_EDGE('',*,*,#11421,.F.); +#16782=ORIENTED_EDGE('',*,*,#11575,.F.); +#16783=ORIENTED_EDGE('',*,*,#11721,.F.); +#16784=ORIENTED_EDGE('',*,*,#11722,.F.); +#16785=ORIENTED_EDGE('',*,*,#11723,.T.); +#16786=ORIENTED_EDGE('',*,*,#11724,.T.); +#16787=ORIENTED_EDGE('',*,*,#11502,.T.); +#16788=ORIENTED_EDGE('',*,*,#11367,.T.); +#16789=ORIENTED_EDGE('',*,*,#11372,.F.); +#16790=ORIENTED_EDGE('',*,*,#11527,.F.); +#16791=ORIENTED_EDGE('',*,*,#11725,.F.); +#16792=ORIENTED_EDGE('',*,*,#11494,.T.); +#16793=ORIENTED_EDGE('',*,*,#11726,.T.); +#16794=ORIENTED_EDGE('',*,*,#11723,.F.); +#16795=ORIENTED_EDGE('',*,*,#11499,.T.); +#16796=ORIENTED_EDGE('',*,*,#11501,.F.); +#16797=ORIENTED_EDGE('',*,*,#11503,.T.); +#16798=ORIENTED_EDGE('',*,*,#11724,.F.); +#16799=ORIENTED_EDGE('',*,*,#11726,.F.); +#16800=ORIENTED_EDGE('',*,*,#11493,.F.); +#16801=ORIENTED_EDGE('',*,*,#11577,.F.); +#16802=ORIENTED_EDGE('',*,*,#11727,.F.); +#16803=ORIENTED_EDGE('',*,*,#11542,.T.); +#16804=ORIENTED_EDGE('',*,*,#11728,.T.); +#16805=ORIENTED_EDGE('',*,*,#11729,.F.); +#16806=ORIENTED_EDGE('',*,*,#11661,.F.); +#16807=ORIENTED_EDGE('',*,*,#11730,.F.); +#16808=ORIENTED_EDGE('',*,*,#11729,.T.); +#16809=ORIENTED_EDGE('',*,*,#11731,.T.); +#16810=ORIENTED_EDGE('',*,*,#11608,.T.); +#16811=ORIENTED_EDGE('',*,*,#11382,.T.); +#16812=ORIENTED_EDGE('',*,*,#11375,.F.); +#16813=ORIENTED_EDGE('',*,*,#11535,.F.); +#16814=ORIENTED_EDGE('',*,*,#11607,.T.); +#16815=ORIENTED_EDGE('',*,*,#11551,.F.); +#16816=ORIENTED_EDGE('',*,*,#11609,.T.); +#16817=ORIENTED_EDGE('',*,*,#11731,.F.); +#16818=ORIENTED_EDGE('',*,*,#11728,.F.); +#16819=ORIENTED_EDGE('',*,*,#11541,.F.); +#16820=ORIENTED_EDGE('',*,*,#11581,.F.); +#16821=ORIENTED_EDGE('',*,*,#11640,.F.); +#16822=ORIENTED_EDGE('',*,*,#11732,.F.); +#16823=ORIENTED_EDGE('',*,*,#11733,.T.); +#16824=ORIENTED_EDGE('',*,*,#11734,.T.); +#16825=ORIENTED_EDGE('',*,*,#11613,.T.); +#16826=ORIENTED_EDGE('',*,*,#11352,.T.); +#16827=ORIENTED_EDGE('',*,*,#11345,.F.); +#16828=ORIENTED_EDGE('',*,*,#11509,.F.); +#16829=ORIENTED_EDGE('',*,*,#11735,.F.); +#16830=ORIENTED_EDGE('',*,*,#11476,.T.); +#16831=ORIENTED_EDGE('',*,*,#11736,.T.); +#16832=ORIENTED_EDGE('',*,*,#11733,.F.); +#16833=ORIENTED_EDGE('',*,*,#11615,.T.); +#16834=ORIENTED_EDGE('',*,*,#11557,.F.); +#16835=ORIENTED_EDGE('',*,*,#11614,.T.); +#16836=ORIENTED_EDGE('',*,*,#11734,.F.); +#16837=ORIENTED_EDGE('',*,*,#11736,.F.); +#16838=ORIENTED_EDGE('',*,*,#11475,.F.); +#16839=ORIENTED_EDGE('',*,*,#11585,.F.); +#16840=ORIENTED_EDGE('',*,*,#11737,.F.); +#16841=ORIENTED_EDGE('',*,*,#11738,.F.); +#16842=ORIENTED_EDGE('',*,*,#11739,.T.); +#16843=ORIENTED_EDGE('',*,*,#11740,.T.); +#16844=ORIENTED_EDGE('',*,*,#11616,.T.); +#16845=ORIENTED_EDGE('',*,*,#11332,.T.); +#16846=ORIENTED_EDGE('',*,*,#11325,.F.); +#16847=ORIENTED_EDGE('',*,*,#11515,.F.); +#16848=ORIENTED_EDGE('',*,*,#11741,.F.); +#16849=ORIENTED_EDGE('',*,*,#11458,.T.); +#16850=ORIENTED_EDGE('',*,*,#11742,.T.); +#16851=ORIENTED_EDGE('',*,*,#11739,.F.); +#16852=ORIENTED_EDGE('',*,*,#11618,.T.); +#16853=ORIENTED_EDGE('',*,*,#11562,.F.); +#16854=ORIENTED_EDGE('',*,*,#11617,.T.); +#16855=ORIENTED_EDGE('',*,*,#11740,.F.); +#16856=ORIENTED_EDGE('',*,*,#11742,.F.); +#16857=ORIENTED_EDGE('',*,*,#11457,.F.); +#16858=ORIENTED_EDGE('',*,*,#11592,.F.); +#16859=ORIENTED_EDGE('',*,*,#11405,.T.); +#16860=ORIENTED_EDGE('',*,*,#11667,.T.); +#16861=ORIENTED_EDGE('',*,*,#11664,.T.); +#16862=ORIENTED_EDGE('',*,*,#11646,.T.); +#16863=ORIENTED_EDGE('',*,*,#11417,.T.); +#16864=ORIENTED_EDGE('',*,*,#11707,.T.); +#16865=ORIENTED_EDGE('',*,*,#11704,.T.); +#16866=ORIENTED_EDGE('',*,*,#11636,.T.); +#16867=ORIENTED_EDGE('',*,*,#11477,.T.); +#16868=ORIENTED_EDGE('',*,*,#11735,.T.); +#16869=ORIENTED_EDGE('',*,*,#11732,.T.); +#16870=ORIENTED_EDGE('',*,*,#11639,.T.); +#16871=ORIENTED_EDGE('',*,*,#11465,.T.); +#16872=ORIENTED_EDGE('',*,*,#11697,.T.); +#16873=ORIENTED_EDGE('',*,*,#11694,.T.); +#16874=ORIENTED_EDGE('',*,*,#11643,.T.); +#16875=ORIENTED_EDGE('',*,*,#11411,.T.); +#16876=ORIENTED_EDGE('',*,*,#11672,.T.); +#16877=ORIENTED_EDGE('',*,*,#11669,.T.); +#16878=ORIENTED_EDGE('',*,*,#11642,.T.); +#16879=ORIENTED_EDGE('',*,*,#11220,.T.); +#16880=ORIENTED_EDGE('',*,*,#11709,.T.); +#16881=ORIENTED_EDGE('',*,*,#11230,.T.); +#16882=ORIENTED_EDGE('',*,*,#11634,.T.); +#16883=ORIENTED_EDGE('',*,*,#11743,.T.); +#16884=ORIENTED_EDGE('',*,*,#11650,.T.); +#16885=ORIENTED_EDGE('',*,*,#11543,.T.); +#16886=ORIENTED_EDGE('',*,*,#11727,.T.); +#16887=ORIENTED_EDGE('',*,*,#11730,.T.); +#16888=ORIENTED_EDGE('',*,*,#11660,.T.); +#16889=ORIENTED_EDGE('',*,*,#11441,.T.); +#16890=ORIENTED_EDGE('',*,*,#11692,.T.); +#16891=ORIENTED_EDGE('',*,*,#11689,.T.); +#16892=ORIENTED_EDGE('',*,*,#11659,.T.); +#16893=ORIENTED_EDGE('',*,*,#11387,.T.); +#16894=ORIENTED_EDGE('',*,*,#11677,.T.); +#16895=ORIENTED_EDGE('',*,*,#11674,.T.); +#16896=ORIENTED_EDGE('',*,*,#11657,.T.); +#16897=ORIENTED_EDGE('',*,*,#11429,.T.); +#16898=ORIENTED_EDGE('',*,*,#11714,.T.); +#16899=ORIENTED_EDGE('',*,*,#11711,.T.); +#16900=ORIENTED_EDGE('',*,*,#11652,.T.); +#16901=ORIENTED_EDGE('',*,*,#11495,.T.); +#16902=ORIENTED_EDGE('',*,*,#11725,.T.); +#16903=ORIENTED_EDGE('',*,*,#11722,.T.); +#16904=ORIENTED_EDGE('',*,*,#11744,.T.); +#16905=ORIENTED_EDGE('',*,*,#11447,.T.); +#16906=ORIENTED_EDGE('',*,*,#11684,.T.); +#16907=ORIENTED_EDGE('',*,*,#11687,.T.); +#16908=ORIENTED_EDGE('',*,*,#11663,.T.); +#16909=ORIENTED_EDGE('',*,*,#11393,.T.); +#16910=ORIENTED_EDGE('',*,*,#11682,.T.); +#16911=ORIENTED_EDGE('',*,*,#11679,.T.); +#16912=ORIENTED_EDGE('',*,*,#11655,.T.); +#16913=ORIENTED_EDGE('',*,*,#11423,.T.); +#16914=ORIENTED_EDGE('',*,*,#11719,.T.); +#16915=ORIENTED_EDGE('',*,*,#11716,.T.); +#16916=ORIENTED_EDGE('',*,*,#11649,.T.); +#16917=ORIENTED_EDGE('',*,*,#11745,.T.); +#16918=ORIENTED_EDGE('',*,*,#11631,.T.); +#16919=ORIENTED_EDGE('',*,*,#11483,.T.); +#16920=ORIENTED_EDGE('',*,*,#11702,.T.); +#16921=ORIENTED_EDGE('',*,*,#11699,.T.); +#16922=ORIENTED_EDGE('',*,*,#11637,.T.); +#16923=ORIENTED_EDGE('',*,*,#11459,.T.); +#16924=ORIENTED_EDGE('',*,*,#11741,.T.); +#16925=ORIENTED_EDGE('',*,*,#11738,.T.); +#16926=ORIENTED_EDGE('',*,*,#11746,.T.); +#16927=ORIENTED_EDGE('',*,*,#11406,.T.); +#16928=ORIENTED_EDGE('',*,*,#11746,.F.); +#16929=ORIENTED_EDGE('',*,*,#11737,.T.); +#16930=ORIENTED_EDGE('',*,*,#11514,.F.); +#16931=ORIENTED_EDGE('',*,*,#11519,.F.); +#16932=ORIENTED_EDGE('',*,*,#11632,.T.); +#16933=ORIENTED_EDGE('',*,*,#11745,.F.); +#16934=ORIENTED_EDGE('',*,*,#11648,.F.); +#16935=ORIENTED_EDGE('',*,*,#11448,.T.); +#16936=ORIENTED_EDGE('',*,*,#11744,.F.); +#16937=ORIENTED_EDGE('',*,*,#11721,.T.); +#16938=ORIENTED_EDGE('',*,*,#11526,.F.); +#16939=ORIENTED_EDGE('',*,*,#11538,.F.); +#16940=ORIENTED_EDGE('',*,*,#11651,.T.); +#16941=ORIENTED_EDGE('',*,*,#11743,.F.); +#16942=ORIENTED_EDGE('',*,*,#11633,.F.); +#16943=PLANE('',#19378); +#16944=PLANE('',#19379); +#16945=PLANE('',#19380); +#16946=PLANE('',#19381); +#16947=PLANE('',#19382); +#16948=PLANE('',#19383); +#16949=PLANE('',#19384); +#16950=PLANE('',#19387); +#16951=PLANE('',#19388); +#16952=PLANE('',#19389); +#16953=PLANE('',#19390); +#16954=PLANE('',#19391); +#16955=PLANE('',#19392); +#16956=PLANE('',#19393); +#16957=PLANE('',#19394); +#16958=PLANE('',#19395); +#16959=PLANE('',#19396); +#16960=PLANE('',#19397); +#16961=PLANE('',#19398); +#16962=PLANE('',#19399); +#16963=PLANE('',#19400); +#16964=PLANE('',#19401); +#16965=PLANE('',#19402); +#16966=PLANE('',#19403); +#16967=PLANE('',#19404); +#16968=PLANE('',#19405); +#16969=PLANE('',#19406); +#16970=PLANE('',#19407); +#16971=PLANE('',#19408); +#16972=PLANE('',#19409); +#16973=PLANE('',#19410); +#16974=PLANE('',#19411); +#16975=PLANE('',#19412); +#16976=PLANE('',#19413); +#16977=PLANE('',#19414); +#16978=PLANE('',#19415); +#16979=PLANE('',#19416); +#16980=PLANE('',#19417); +#16981=PLANE('',#19418); +#16982=PLANE('',#19419); +#16983=PLANE('',#19420); +#16984=PLANE('',#19421); +#16985=PLANE('',#19422); +#16986=PLANE('',#19423); +#16987=PLANE('',#19424); +#16988=PLANE('',#19425); +#16989=PLANE('',#19426); +#16990=PLANE('',#19427); +#16991=PLANE('',#19428); +#16992=PLANE('',#19429); +#16993=PLANE('',#19430); +#16994=PLANE('',#19431); +#16995=PLANE('',#19432); +#16996=PLANE('',#19433); +#16997=PLANE('',#19434); +#16998=PLANE('',#19435); +#16999=PLANE('',#19436); +#17000=PLANE('',#19437); +#17001=PLANE('',#19438); +#17002=PLANE('',#19439); +#17003=PLANE('',#19440); +#17004=PLANE('',#19441); +#17005=PLANE('',#19442); +#17006=PLANE('',#19443); +#17007=PLANE('',#19444); +#17008=PLANE('',#19445); +#17009=PLANE('',#19446); +#17010=PLANE('',#19447); +#17011=PLANE('',#19448); +#17012=PLANE('',#19449); +#17013=PLANE('',#19450); +#17014=PLANE('',#19451); +#17015=PLANE('',#19452); +#17016=PLANE('',#19453); +#17017=PLANE('',#19454); +#17018=PLANE('',#19455); +#17019=PLANE('',#19456); +#17020=PLANE('',#19457); +#17021=PLANE('',#19458); +#17022=PLANE('',#19459); +#17023=PLANE('',#19460); +#17024=PLANE('',#19461); +#17025=PLANE('',#19462); +#17026=PLANE('',#19463); +#17027=PLANE('',#19464); +#17028=PLANE('',#19465); +#17029=PLANE('',#19466); +#17030=PLANE('',#19467); +#17031=PLANE('',#19468); +#17032=PLANE('',#19469); +#17033=PLANE('',#19470); +#17034=PLANE('',#19471); +#17035=PLANE('',#19472); +#17036=PLANE('',#19473); +#17037=PLANE('',#19474); +#17038=PLANE('',#19475); +#17039=PLANE('',#19476); +#17040=PLANE('',#19477); +#17041=PLANE('',#19478); +#17042=PLANE('',#19479); +#17043=PLANE('',#19480); +#17044=PLANE('',#19481); +#17045=PLANE('',#19482); +#17046=PLANE('',#19483); +#17047=PLANE('',#19484); +#17048=PLANE('',#19485); +#17049=PLANE('',#19486); +#17050=PLANE('',#19487); +#17051=PLANE('',#19488); +#17052=PLANE('',#19489); +#17053=PLANE('',#19490); +#17054=PLANE('',#19491); +#17055=PLANE('',#19492); +#17056=PLANE('',#19493); +#17057=PLANE('',#19494); +#17058=PLANE('',#19495); +#17059=PLANE('',#19496); +#17060=PLANE('',#19497); +#17061=PLANE('',#19498); +#17062=PLANE('',#19499); +#17063=PLANE('',#19500); +#17064=PLANE('',#19501); +#17065=PLANE('',#19502); +#17066=PLANE('',#19503); +#17067=PLANE('',#19504); +#17068=PLANE('',#19505); +#17069=PLANE('',#19506); +#17070=PLANE('',#19507); +#17071=PLANE('',#19508); +#17072=PLANE('',#19509); +#17073=PLANE('',#19510); +#17074=PLANE('',#19511); +#17075=PLANE('',#19512); +#17076=PLANE('',#19513); +#17077=PLANE('',#19514); +#17078=PLANE('',#19515); +#17079=PLANE('',#19516); +#17080=PLANE('',#19517); +#17081=PLANE('',#19518); +#17082=PLANE('',#19519); +#17083=PLANE('',#19520); +#17084=PLANE('',#19521); +#17085=PLANE('',#19522); +#17086=PLANE('',#19523); +#17087=PLANE('',#19524); +#17088=PLANE('',#19525); +#17089=PLANE('',#19526); +#17090=PLANE('',#19527); +#17091=PLANE('',#19528); +#17092=PLANE('',#19529); +#17093=PLANE('',#19530); +#17094=PLANE('',#19531); +#17095=PLANE('',#19532); +#17096=PLANE('',#19533); +#17097=PLANE('',#19534); +#17098=PLANE('',#19535); +#17099=PLANE('',#19536); +#17100=PLANE('',#19537); +#17101=PLANE('',#19538); +#17102=PLANE('',#19539); +#17103=PLANE('',#19540); +#17104=PLANE('',#19541); +#17105=PLANE('',#19542); +#17106=PLANE('',#19543); +#17107=PLANE('',#19544); +#17108=PLANE('',#19545); +#17109=PLANE('',#19546); +#17110=PLANE('',#19547); +#17111=PLANE('',#19548); +#17112=PLANE('',#19549); +#17113=PLANE('',#19550); +#17114=PLANE('',#19551); +#17115=PLANE('',#19552); +#17116=PLANE('',#19553); +#17117=PLANE('',#19554); +#17118=PLANE('',#19555); +#17119=PLANE('',#19556); +#17120=PLANE('',#19557); +#17121=PLANE('',#19558); +#17122=PLANE('',#19559); +#17123=PLANE('',#19560); +#17124=PLANE('',#19561); +#17125=PLANE('',#19562); +#17126=PLANE('',#19563); +#17127=PLANE('',#19564); +#17128=PLANE('',#19565); +#17129=PLANE('',#19566); +#17130=PLANE('',#19567); +#17131=PLANE('',#19568); +#17132=PLANE('',#19569); +#17133=PLANE('',#19570); +#17134=PLANE('',#19571); +#17135=PLANE('',#19572); +#17136=PLANE('',#19573); +#17137=PLANE('',#19574); +#17138=PLANE('',#19575); +#17139=PLANE('',#19576); +#17140=PLANE('',#19577); +#17141=PLANE('',#19578); +#17142=PLANE('',#19579); +#17143=PLANE('',#19580); +#17144=PLANE('',#19581); +#17145=PLANE('',#19582); +#17146=PLANE('',#19583); +#17147=PLANE('',#19584); +#17148=PLANE('',#19585); +#17149=PLANE('',#19586); +#17150=PLANE('',#19587); +#17151=PLANE('',#19588); +#17152=PLANE('',#19589); +#17153=PLANE('',#19590); +#17154=PLANE('',#19591); +#17155=PLANE('',#19592); +#17156=PLANE('',#19593); +#17157=PLANE('',#19594); +#17158=PLANE('',#19595); +#17159=PLANE('',#19596); +#17160=PLANE('',#19597); +#17161=PLANE('',#19598); +#17162=PLANE('',#19599); +#17163=PLANE('',#19600); +#17164=PLANE('',#19601); +#17165=PLANE('',#19602); +#17166=PLANE('',#19603); +#17167=PLANE('',#19604); +#17168=PLANE('',#19605); +#17169=PLANE('',#19606); +#17170=PLANE('',#19607); +#17171=PLANE('',#19608); +#17172=PLANE('',#19609); +#17173=PLANE('',#19610); +#17174=PLANE('',#19611); +#17175=PLANE('',#19612); +#17176=PLANE('',#19613); +#17177=PLANE('',#19614); +#17178=PLANE('',#19615); +#17179=PLANE('',#19616); +#17180=PLANE('',#19617); +#17181=PLANE('',#19618); +#17182=PLANE('',#19619); +#17183=PLANE('',#19620); +#17184=PLANE('',#19621); +#17185=PLANE('',#19622); +#17186=PLANE('',#19623); +#17187=PLANE('',#19624); +#17188=PLANE('',#19625); +#17189=PLANE('',#19626); +#17190=PLANE('',#19627); +#17191=PLANE('',#19628); +#17192=PLANE('',#19629); +#17193=PLANE('',#19630); +#17194=PLANE('',#19631); +#17195=PLANE('',#19632); +#17196=PLANE('',#19633); +#17197=PLANE('',#19634); +#17198=PLANE('',#19635); +#17199=PLANE('',#19636); +#17200=PLANE('',#19637); +#17201=PLANE('',#19638); +#17202=PLANE('',#19639); +#17203=PLANE('',#19640); +#17204=PLANE('',#19641); +#17205=PLANE('',#19642); +#17206=PLANE('',#19643); +#17207=PLANE('',#19644); +#17208=PLANE('',#19645); +#17209=PLANE('',#19646); +#17210=PLANE('',#19647); +#17211=PLANE('',#19648); +#17212=PLANE('',#19649); +#17213=PLANE('',#19650); +#17214=PLANE('',#19651); +#17215=PLANE('',#19652); +#17216=PLANE('',#19653); +#17217=PLANE('',#19654); +#17218=PLANE('',#19655); +#17219=PLANE('',#19656); +#17220=PLANE('',#19657); +#17221=PLANE('',#19658); +#17222=PLANE('',#19659); +#17223=PLANE('',#19660); +#17224=PLANE('',#19661); +#17225=PLANE('',#19662); +#17226=PLANE('',#19663); +#17227=PLANE('',#19664); +#17228=PLANE('',#19665); +#17229=PLANE('',#19666); +#17230=PLANE('',#19667); +#17231=PLANE('',#19668); +#17232=PLANE('',#19669); +#17233=PLANE('',#19670); +#17234=PLANE('',#19671); +#17235=PLANE('',#19672); +#17236=PLANE('',#19673); +#17237=PLANE('',#19674); +#17238=PLANE('',#19675); +#17239=PLANE('',#19676); +#17240=PLANE('',#19677); +#17241=PLANE('',#19678); +#17242=PLANE('',#19679); +#17243=PLANE('',#19680); +#17244=PLANE('',#19681); +#17245=PLANE('',#19682); +#17246=PLANE('',#19683); +#17247=PLANE('',#19684); +#17248=PLANE('',#19685); +#17249=PLANE('',#19686); +#17250=PLANE('',#19687); +#17251=PLANE('',#19688); +#17252=PLANE('',#19689); +#17253=PLANE('',#19690); +#17254=PLANE('',#19691); +#17255=PLANE('',#19692); +#17256=PLANE('',#19693); +#17257=PLANE('',#19694); +#17258=PLANE('',#19695); +#17259=PLANE('',#19696); +#17260=PLANE('',#19697); +#17261=PLANE('',#19701); +#17262=PLANE('',#19702); +#17263=PLANE('',#19703); +#17264=PLANE('',#19704); +#17265=PLANE('',#19705); +#17266=PLANE('',#19709); +#17267=PLANE('',#19710); +#17268=PLANE('',#19711); +#17269=PLANE('',#19712); +#17270=PLANE('',#19713); +#17271=PLANE('',#19717); +#17272=PLANE('',#19718); +#17273=PLANE('',#19719); +#17274=PLANE('',#19720); +#17275=PLANE('',#19721); +#17276=PLANE('',#19725); +#17277=PLANE('',#19726); +#17278=PLANE('',#19727); +#17279=PLANE('',#19728); +#17280=PLANE('',#19729); +#17281=PLANE('',#19733); +#17282=PLANE('',#19734); +#17283=PLANE('',#19735); +#17284=PLANE('',#19736); +#17285=PLANE('',#19737); +#17286=PLANE('',#19741); +#17287=PLANE('',#19742); +#17288=PLANE('',#19743); +#17289=PLANE('',#19744); +#17290=PLANE('',#19745); +#17291=PLANE('',#19749); +#17292=PLANE('',#19750); +#17293=PLANE('',#19751); +#17294=PLANE('',#19752); +#17295=PLANE('',#19753); +#17296=PLANE('',#19757); +#17297=PLANE('',#19758); +#17298=PLANE('',#19759); +#17299=PLANE('',#19760); +#17300=PLANE('',#19761); +#17301=PLANE('',#19765); +#17302=PLANE('',#19766); +#17303=PLANE('',#19767); +#17304=PLANE('',#19768); +#17305=PLANE('',#19769); +#17306=PLANE('',#19773); +#17307=PLANE('',#19774); +#17308=PLANE('',#19775); +#17309=PLANE('',#19776); +#17310=PLANE('',#19777); +#17311=PLANE('',#19781); +#17312=PLANE('',#19782); +#17313=PLANE('',#19783); +#17314=PLANE('',#19784); +#17315=PLANE('',#19785); +#17316=PLANE('',#19789); +#17317=PLANE('',#19790); +#17318=PLANE('',#19791); +#17319=PLANE('',#19792); +#17320=PLANE('',#19793); +#17321=PLANE('',#19797); +#17322=PLANE('',#19798); +#17323=PLANE('',#19799); +#17324=PLANE('',#19800); +#17325=PLANE('',#19801); +#17326=PLANE('',#19805); +#17327=PLANE('',#19806); +#17328=PLANE('',#19807); +#17329=PLANE('',#19808); +#17330=PLANE('',#19809); +#17331=PLANE('',#19813); +#17332=PLANE('',#19814); +#17333=PLANE('',#19815); +#17334=PLANE('',#19816); +#17335=PLANE('',#19817); +#17336=PLANE('',#19821); +#17337=PLANE('',#19822); +#17338=PLANE('',#19823); +#17339=PLANE('',#19824); +#17340=PLANE('',#19825); +#17341=PLANE('',#19829); +#17342=PLANE('',#19830); +#17343=PLANE('',#19831); +#17344=PLANE('',#19832); +#17345=PLANE('',#19833); +#17346=PLANE('',#19837); +#17347=PLANE('',#19838); +#17348=PLANE('',#19839); +#17349=PLANE('',#19840); +#17350=PLANE('',#19841); +#17351=PLANE('',#19845); +#17352=PLANE('',#19846); +#17353=PLANE('',#19847); +#17354=PLANE('',#19848); +#17355=PLANE('',#19849); +#17356=PLANE('',#19853); +#17357=PLANE('',#19854); +#17358=PLANE('',#19855); +#17359=PLANE('',#19856); +#17360=PLANE('',#19857); +#17361=PLANE('',#19861); +#17362=PLANE('',#19862); +#17363=PLANE('',#19863); +#17364=PLANE('',#19864); +#17365=PLANE('',#19865); +#17366=PLANE('',#19869); +#17367=PLANE('',#19870); +#17368=PLANE('',#19871); +#17369=PLANE('',#19872); +#17370=PLANE('',#19873); +#17371=PLANE('',#19877); +#17372=PLANE('',#19878); +#17373=PLANE('',#19879); +#17374=PLANE('',#19880); +#17375=PLANE('',#19881); +#17376=PLANE('',#19885); +#17377=PLANE('',#19886); +#17378=PLANE('',#19887); +#17379=PLANE('',#19888); +#17380=PLANE('',#19889); +#17381=PLANE('',#19893); +#17382=PLANE('',#19894); +#17383=PLANE('',#19895); +#17384=PLANE('',#19896); +#17385=PLANE('',#19897); +#17386=PLANE('',#19901); +#17387=PLANE('',#19902); +#17388=PLANE('',#19903); +#17389=PLANE('',#19904); +#17390=PLANE('',#19905); +#17391=PLANE('',#19909); +#17392=PLANE('',#19910); +#17393=PLANE('',#19911); +#17394=PLANE('',#19912); +#17395=PLANE('',#19913); +#17396=PLANE('',#19917); +#17397=PLANE('',#19918); +#17398=PLANE('',#19919); +#17399=PLANE('',#19920); +#17400=PLANE('',#19921); +#17401=PLANE('',#19922); +#17402=PLANE('',#19923); +#17403=PLANE('',#19924); +#17404=PLANE('',#19925); +#17405=PLANE('',#19926); +#17406=PLANE('',#19927); +#17407=PLANE('',#19928); +#17408=PLANE('',#19929); +#17409=PLANE('',#19930); +#17410=PLANE('',#19931); +#17411=PLANE('',#19932); +#17412=PLANE('',#19933); +#17413=PLANE('',#19934); +#17414=PLANE('',#19939); +#17415=PLANE('',#19944); +#17416=PLANE('',#19949); +#17417=PLANE('',#19953); +#17418=PLANE('',#19961); +#17419=PLANE('',#19963); +#17420=PLANE('',#19997); +#17421=PLANE('',#20006); +#17422=PLANE('',#20008); +#17423=PLANE('',#20009); +#17424=PLANE('',#20010); +#17425=PLANE('',#20019); +#17426=PLANE('',#20026); +#17427=PLANE('',#20033); +#17428=PLANE('',#20037); +#17429=PLANE('',#20038); +#17430=PLANE('',#20042); +#17431=PLANE('',#20065); +#17432=PLANE('',#20072); +#17433=PLANE('',#20079); +#17434=PLANE('',#20082); +#17435=PLANE('',#20085); +#17436=PLANE('',#20086); +#17437=PLANE('',#20089); +#17438=PLANE('',#20092); +#17439=PLANE('',#20118); +#17440=PLANE('',#20125); +#17441=PLANE('',#20132); +#17442=PLANE('',#20136); +#17443=PLANE('',#20137); +#17444=PLANE('',#20141); +#17445=PLANE('',#20164); +#17446=PLANE('',#20171); +#17447=PLANE('',#20178); +#17448=PLANE('',#20181); +#17449=PLANE('',#20184); +#17450=PLANE('',#20185); +#17451=PLANE('',#20188); +#17452=PLANE('',#20191); +#17453=PLANE('',#20215); +#17454=PLANE('',#20222); +#17455=PLANE('',#20229); +#17456=PLANE('',#20233); +#17457=PLANE('',#20234); +#17458=PLANE('',#20238); +#17459=PLANE('',#20257); +#17460=PLANE('',#20264); +#17461=PLANE('',#20271); +#17462=PLANE('',#20274); +#17463=PLANE('',#20277); +#17464=PLANE('',#20278); +#17465=PLANE('',#20281); +#17466=PLANE('',#20284); +#17467=PLANE('',#20308); +#17468=PLANE('',#20315); +#17469=PLANE('',#20322); +#17470=PLANE('',#20326); +#17471=PLANE('',#20327); +#17472=PLANE('',#20331); +#17473=PLANE('',#20354); +#17474=PLANE('',#20361); +#17475=PLANE('',#20368); +#17476=PLANE('',#20371); +#17477=PLANE('',#20374); +#17478=PLANE('',#20375); +#17479=PLANE('',#20378); +#17480=PLANE('',#20381); +#17481=PLANE('',#20400); +#17482=PLANE('',#20401); +#17483=PLANE('',#20402); +#17484=PLANE('',#20403); +#17485=PLANE('',#20404); +#17486=PLANE('',#20405); +#17487=PLANE('',#20406); +#17488=PLANE('',#20407); +#17489=PLANE('',#20408); +#17490=PLANE('',#20409); +#17491=PLANE('',#20410); +#17492=PLANE('',#20411); +#17493=PLANE('',#20412); +#17494=PLANE('',#20413); +#17495=PLANE('',#20414); +#17496=PLANE('',#20415); +#17497=PLANE('',#20416); +#17498=PLANE('',#20421); +#17499=PLANE('',#20482); +#17500=PLANE('',#20483); +#17501=PLANE('',#20484); +#17502=PLANE('',#20485); +#17503=PLANE('',#20486); +#17504=PLANE('',#20487); +#17505=PLANE('',#20488); +#17506=PLANE('',#20493); +#17507=PLANE('',#20502); +#17508=PLANE('',#20503); +#17509=PLANE('',#20504); +#17510=PLANE('',#20505); +#17511=PLANE('',#20506); +#17512=PLANE('',#20507); +#17513=PLANE('',#20508); +#17514=PLANE('',#20513); +#17515=PLANE('',#20523); +#17516=PLANE('',#20524); +#17517=PLANE('',#20525); +#17518=PLANE('',#20526); +#17519=PLANE('',#20527); +#17520=PLANE('',#20528); +#17521=PLANE('',#20529); +#17522=PLANE('',#20530); +#17523=PLANE('',#20531); +#17524=PLANE('',#20532); +#17525=PLANE('',#20533); +#17526=PLANE('',#20534); +#17527=PLANE('',#20535); +#17528=PLANE('',#20536); +#17529=PLANE('',#20537); +#17530=PLANE('',#20538); +#17531=PLANE('',#20539); +#17532=PLANE('',#20540); +#17533=PLANE('',#20541); +#17534=PLANE('',#20542); +#17535=PLANE('',#20543); +#17536=PLANE('',#20545); +#17537=PLANE('',#20546); +#17538=PLANE('',#20547); +#17539=PLANE('',#20548); +#17540=PLANE('',#20549); +#17541=PLANE('',#20550); +#17542=PLANE('',#20551); +#17543=PLANE('',#20552); +#17544=PLANE('',#20553); +#17545=PLANE('',#20554); +#17546=PLANE('',#20607); +#17547=PLANE('',#20608); +#17548=PLANE('',#20609); +#17549=PLANE('',#20610); +#17550=PLANE('',#20611); +#17551=PLANE('',#20612); +#17552=PLANE('',#20613); +#17553=PLANE('',#20614); +#17554=PLANE('',#20619); +#17555=PLANE('',#20628); +#17556=PLANE('',#20629); +#17557=PLANE('',#20630); +#17558=PLANE('',#20631); +#17559=PLANE('',#20632); +#17560=PLANE('',#20633); +#17561=PLANE('',#20634); +#17562=PLANE('',#20635); +#17563=PLANE('',#20640); +#17564=PLANE('',#20645); +#17565=PLANE('',#20646); +#17566=PLANE('',#20647); +#17567=PLANE('',#20648); +#17568=PLANE('',#20649); +#17569=PLANE('',#20650); +#17570=PLANE('',#20651); +#17571=PLANE('',#20652); +#17572=PLANE('',#20657); +#17573=PLANE('',#20670); +#17574=PLANE('',#20671); +#17575=PLANE('',#20672); +#17576=PLANE('',#20673); +#17577=PLANE('',#20674); +#17578=PLANE('',#20675); +#17579=PLANE('',#20676); +#17580=PLANE('',#20677); +#17581=PLANE('',#20682); +#17582=PLANE('',#20691); +#17583=PLANE('',#20692); +#17584=PLANE('',#20693); +#17585=PLANE('',#20694); +#17586=PLANE('',#20695); +#17587=PLANE('',#20696); +#17588=PLANE('',#20697); +#17589=PLANE('',#20698); +#17590=PLANE('',#20703); +#17591=PLANE('',#20708); +#17592=PLANE('',#20709); +#17593=PLANE('',#20710); +#17594=PLANE('',#20711); +#17595=PLANE('',#20712); +#17596=PLANE('',#20713); +#17597=PLANE('',#20714); +#17598=PLANE('',#20715); +#17599=PLANE('',#20720); +#17600=PLANE('',#20733); +#17601=PLANE('',#20739); +#17602=PLANE('',#20740); +#17603=PLANE('',#20743); +#17604=PLANE('',#20744); +#17605=PLANE('',#20748); +#17606=PLANE('',#20751); +#17607=PLANE('',#20760); +#17608=PLANE('',#20766); +#17609=PLANE('',#20772); +#17610=PLANE('',#20778); +#17611=PLANE('',#20781); +#17612=PLANE('',#20790); +#17613=PLANE('',#20793); +#17614=PLANE('',#20802); +#17615=PLANE('',#20805); +#17616=PLANE('',#20814); +#17617=PLANE('',#20817); +#17618=PLANE('',#20823); +#17619=PLANE('',#20832); +#17620=PLANE('',#20835); +#17621=PLANE('',#20836); +#17622=PLANE('',#20837); +#17623=PLANE('',#20840); +#17624=PLANE('',#20841); +#17625=PLANE('',#20842); +#17626=PLANE('',#20843); +#17627=PLANE('',#20844); +#17628=PLANE('',#20845); +#17629=PLANE('',#20846); +#17630=PLANE('',#20849); +#17631=PLANE('',#20850); +#17632=PLANE('',#20851); +#17633=PLANE('',#20852); +#17634=PLANE('',#20855); +#17635=PLANE('',#20856); +#17636=PLANE('',#20857); +#17637=PLANE('',#20858); +#17638=PLANE('',#20861); +#17639=PLANE('',#20862); +#17640=PLANE('',#20863); +#17641=PLANE('',#20864); +#17642=PLANE('',#20867); +#17643=PLANE('',#20868); +#17644=PLANE('',#20869); +#17645=PLANE('',#20872); +#17646=PLANE('',#20873); +#17647=PLANE('',#20874); +#17648=PLANE('',#20925); +#17649=PLANE('',#20926); +#17650=PLANE('',#20927); +#17651=PLANE('',#20928); +#17652=PLANE('',#20929); +#17653=PLANE('',#20930); +#17654=PLANE('',#20931); +#17655=PLANE('',#20932); +#17656=PLANE('',#20933); +#17657=PLANE('',#20934); +#17658=PLANE('',#20935); +#17659=PLANE('',#20936); +#17660=PLANE('',#20937); +#17661=PLANE('',#20938); +#17662=PLANE('',#20939); +#17663=PLANE('',#20940); +#17664=PLANE('',#20941); +#17665=PLANE('',#20942); +#17666=PLANE('',#20943); +#17667=PLANE('',#20944); +#17668=PLANE('',#20945); +#17669=PLANE('',#20946); +#17670=PLANE('',#20947); +#17671=PLANE('',#20948); +#17672=PLANE('',#20949); +#17673=PLANE('',#20950); +#17674=PLANE('',#20951); +#17675=PLANE('',#20952); +#17676=PLANE('',#20953); +#17677=PLANE('',#20954); +#17678=PLANE('',#20955); +#17679=PLANE('',#20956); +#17680=PLANE('',#20957); +#17681=PLANE('',#20958); +#17682=PLANE('',#20959); +#17683=PLANE('',#20963); +#17684=PLANE('',#20964); +#17685=PLANE('',#20968); +#17686=PLANE('',#20969); +#17687=PLANE('',#20973); +#17688=PLANE('',#20974); +#17689=PLANE('',#20978); +#17690=PLANE('',#20982); +#17691=PLANE('',#20983); +#17692=PLANE('',#20984); +#17693=PLANE('',#20988); +#17694=PLANE('',#20989); +#17695=PLANE('',#20993); +#17696=PLANE('',#20994); +#17697=PLANE('',#20998); +#17698=PLANE('',#20999); +#17699=PLANE('',#21003); +#17700=PLANE('',#21007); +#17701=PLANE('',#21008); +#17702=PLANE('',#21012); +#17703=PLANE('',#21013); +#17704=PLANE('',#21017); +#17705=PLANE('',#21018); +#17706=PLANE('',#21022); +#17707=PLANE('',#21026); +#17708=PLANE('',#21027); +#17709=PLANE('',#21028); +#17710=PLANE('',#21032); +#17711=PLANE('',#21033); +#17712=PLANE('',#21037); +#17713=PLANE('',#21038); +#17714=PLANE('',#21039); +#17715=PLANE('',#21040); +#17716=PLANE('',#21041); +#17717=PLANE('',#21042); +#17718=STYLED_ITEM('',(#32384),#18120); +#17719=STYLED_ITEM('',(#32384),#18121); +#17720=STYLED_ITEM('',(#32384),#18122); +#17721=STYLED_ITEM('',(#32384),#18123); +#17722=STYLED_ITEM('',(#32384),#18124); +#17723=STYLED_ITEM('',(#32384),#18125); +#17724=STYLED_ITEM('',(#32385),#18126); +#17725=STYLED_ITEM('',(#32385),#18127); +#17726=STYLED_ITEM('',(#32385),#18268); +#17727=STYLED_ITEM('',(#32381),#1030); +#17728=STYLED_ITEM('',(#32381),#1031); +#17729=STYLED_ITEM('',(#32381),#1032); +#17730=STYLED_ITEM('',(#32381),#1033); +#17731=STYLED_ITEM('',(#32381),#1034); +#17732=STYLED_ITEM('',(#32381),#1035); +#17733=STYLED_ITEM('',(#32381),#1036); +#17734=STYLED_ITEM('',(#32381),#1037); +#17735=STYLED_ITEM('',(#32381),#1038); +#17736=STYLED_ITEM('',(#32381),#1039); +#17737=STYLED_ITEM('',(#32381),#1040); +#17738=STYLED_ITEM('',(#32381),#1041); +#17739=STYLED_ITEM('',(#32381),#1042); +#17740=STYLED_ITEM('',(#32381),#1043); +#17741=STYLED_ITEM('',(#32381),#1044); +#17742=STYLED_ITEM('',(#32381),#1045); +#17743=STYLED_ITEM('',(#32381),#1046); +#17744=STYLED_ITEM('',(#32381),#1047); +#17745=STYLED_ITEM('',(#32381),#1048); +#17746=STYLED_ITEM('',(#32381),#1049); +#17747=STYLED_ITEM('',(#32381),#1050); +#17748=STYLED_ITEM('',(#32381),#1051); +#17749=STYLED_ITEM('',(#32381),#1052); +#17750=STYLED_ITEM('',(#32381),#1053); +#17751=STYLED_ITEM('',(#32381),#1054); +#17752=STYLED_ITEM('',(#32381),#1055); +#17753=STYLED_ITEM('',(#32381),#1056); +#17754=STYLED_ITEM('',(#32381),#1057); +#17755=STYLED_ITEM('',(#32381),#1058); +#17756=STYLED_ITEM('',(#32381),#1059); +#17757=STYLED_ITEM('',(#32381),#1060); +#17758=STYLED_ITEM('',(#32381),#1061); +#17759=STYLED_ITEM('',(#32381),#1062); +#17760=STYLED_ITEM('',(#32381),#1063); +#17761=STYLED_ITEM('',(#32381),#1064); +#17762=STYLED_ITEM('',(#32381),#1065); +#17763=STYLED_ITEM('',(#32381),#1066); +#17764=STYLED_ITEM('',(#32381),#1067); +#17765=STYLED_ITEM('',(#32381),#1068); +#17766=STYLED_ITEM('',(#32381),#1069); +#17767=STYLED_ITEM('',(#32381),#1070); +#17768=STYLED_ITEM('',(#32381),#1071); +#17769=STYLED_ITEM('',(#32381),#1072); +#17770=STYLED_ITEM('',(#32381),#1073); +#17771=STYLED_ITEM('',(#32381),#1074); +#17772=STYLED_ITEM('',(#32381),#1075); +#17773=STYLED_ITEM('',(#32381),#1076); +#17774=STYLED_ITEM('',(#32381),#1077); +#17775=STYLED_ITEM('',(#32381),#1078); +#17776=STYLED_ITEM('',(#32381),#1079); +#17777=STYLED_ITEM('',(#32381),#1080); +#17778=STYLED_ITEM('',(#32381),#1081); +#17779=STYLED_ITEM('',(#32381),#1082); +#17780=STYLED_ITEM('',(#32381),#1083); +#17781=STYLED_ITEM('',(#32381),#1084); +#17782=STYLED_ITEM('',(#32381),#1085); +#17783=STYLED_ITEM('',(#32381),#1086); +#17784=STYLED_ITEM('',(#32381),#1087); +#17785=STYLED_ITEM('',(#32387),#18621); +#17786=STYLED_ITEM('',(#32387),#18622); +#17787=STYLED_ITEM('',(#32387),#18623); +#17788=STYLED_ITEM('',(#32387),#18624); +#17789=STYLED_ITEM('',(#32387),#18625); +#17790=STYLED_ITEM('',(#32387),#18626); +#17791=STYLED_ITEM('',(#32387),#18631); +#17792=STYLED_ITEM('',(#32387),#18632); +#17793=STYLED_ITEM('',(#32387),#18634); +#17794=STYLED_ITEM('',(#32387),#18635); +#17795=STYLED_ITEM('',(#32387),#18636); +#17796=STYLED_ITEM('',(#32387),#18637); +#17797=STYLED_ITEM('',(#32387),#18638); +#17798=STYLED_ITEM('',(#32387),#18639); +#17799=STYLED_ITEM('',(#32387),#18640); +#17800=STYLED_ITEM('',(#32387),#18641); +#17801=STYLED_ITEM('',(#32388),#18642); +#17802=STYLED_ITEM('',(#32388),#18643); +#17803=STYLED_ITEM('',(#32388),#18644); +#17804=STYLED_ITEM('',(#32388),#18645); +#17805=STYLED_ITEM('',(#32388),#18647); +#17806=STYLED_ITEM('',(#32388),#18648); +#17807=STYLED_ITEM('',(#32388),#18649); +#17808=STYLED_ITEM('',(#32388),#18650); +#17809=STYLED_ITEM('',(#32388),#18651); +#17810=STYLED_ITEM('',(#32386),#1088); +#17811=STYLED_ITEM('',(#32390),#18656); +#17812=STYLED_ITEM('',(#32391),#18657); +#17813=STYLED_ITEM('',(#32391),#18658); +#17814=STYLED_ITEM('',(#32390),#18659); +#17815=STYLED_ITEM('',(#32390),#18660); +#17816=STYLED_ITEM('',(#32391),#18661); +#17817=STYLED_ITEM('',(#32391),#18662); +#17818=STYLED_ITEM('',(#32391),#18663); +#17819=STYLED_ITEM('',(#32391),#18664); +#17820=STYLED_ITEM('',(#32391),#18665); +#17821=STYLED_ITEM('',(#32391),#18666); +#17822=STYLED_ITEM('',(#32390),#18667); +#17823=STYLED_ITEM('',(#32390),#18668); +#17824=STYLED_ITEM('',(#32391),#18669); +#17825=STYLED_ITEM('',(#32391),#18670); +#17826=STYLED_ITEM('',(#32391),#18671); +#17827=STYLED_ITEM('',(#32391),#18672); +#17828=STYLED_ITEM('',(#32391),#18673); +#17829=STYLED_ITEM('',(#32391),#18674); +#17830=STYLED_ITEM('',(#32391),#18675); +#17831=STYLED_ITEM('',(#32391),#18676); +#17832=STYLED_ITEM('',(#32390),#18677); +#17833=STYLED_ITEM('',(#32390),#18678); +#17834=STYLED_ITEM('',(#32391),#18679); +#17835=STYLED_ITEM('',(#32391),#18680); +#17836=STYLED_ITEM('',(#32391),#18681); +#17837=STYLED_ITEM('',(#32391),#18682); +#17838=STYLED_ITEM('',(#32391),#18683); +#17839=STYLED_ITEM('',(#32391),#18684); +#17840=STYLED_ITEM('',(#32391),#18685); +#17841=STYLED_ITEM('',(#32391),#18686); +#17842=STYLED_ITEM('',(#32391),#18687); +#17843=STYLED_ITEM('',(#32391),#18688); +#17844=STYLED_ITEM('',(#32390),#18689); +#17845=STYLED_ITEM('',(#32391),#18690); +#17846=STYLED_ITEM('',(#32391),#18691); +#17847=STYLED_ITEM('',(#32391),#18692); +#17848=STYLED_ITEM('',(#32391),#18693); +#17849=STYLED_ITEM('',(#32391),#18694); +#17850=STYLED_ITEM('',(#32391),#18695); +#17851=STYLED_ITEM('',(#32391),#18696); +#17852=STYLED_ITEM('',(#32391),#18697); +#17853=STYLED_ITEM('',(#32389),#1089); +#17854=STYLED_ITEM('',(#32392),#18698); +#17855=STYLED_ITEM('',(#32391),#18699); +#17856=STYLED_ITEM('',(#32391),#18700); +#17857=STYLED_ITEM('',(#32392),#18701); +#17858=STYLED_ITEM('',(#32392),#18702); +#17859=STYLED_ITEM('',(#32391),#18703); +#17860=STYLED_ITEM('',(#32391),#18704); +#17861=STYLED_ITEM('',(#32391),#18705); +#17862=STYLED_ITEM('',(#32391),#18706); +#17863=STYLED_ITEM('',(#32391),#18707); +#17864=STYLED_ITEM('',(#32391),#18708); +#17865=STYLED_ITEM('',(#32392),#18709); +#17866=STYLED_ITEM('',(#32392),#18710); +#17867=STYLED_ITEM('',(#32391),#18711); +#17868=STYLED_ITEM('',(#32391),#18712); +#17869=STYLED_ITEM('',(#32391),#18713); +#17870=STYLED_ITEM('',(#32391),#18714); +#17871=STYLED_ITEM('',(#32391),#18715); +#17872=STYLED_ITEM('',(#32391),#18716); +#17873=STYLED_ITEM('',(#32391),#18717); +#17874=STYLED_ITEM('',(#32391),#18718); +#17875=STYLED_ITEM('',(#32392),#18719); +#17876=STYLED_ITEM('',(#32392),#18720); +#17877=STYLED_ITEM('',(#32391),#18721); +#17878=STYLED_ITEM('',(#32391),#18722); +#17879=STYLED_ITEM('',(#32391),#18723); +#17880=STYLED_ITEM('',(#32391),#18724); +#17881=STYLED_ITEM('',(#32391),#18725); +#17882=STYLED_ITEM('',(#32391),#18726); +#17883=STYLED_ITEM('',(#32391),#18727); +#17884=STYLED_ITEM('',(#32391),#18728); +#17885=STYLED_ITEM('',(#32391),#18729); +#17886=STYLED_ITEM('',(#32391),#18730); +#17887=STYLED_ITEM('',(#32392),#18731); +#17888=STYLED_ITEM('',(#32391),#18732); +#17889=STYLED_ITEM('',(#32391),#18733); +#17890=STYLED_ITEM('',(#32391),#18734); +#17891=STYLED_ITEM('',(#32391),#18735); +#17892=STYLED_ITEM('',(#32391),#18736); +#17893=STYLED_ITEM('',(#32391),#18737); +#17894=STYLED_ITEM('',(#32391),#18738); +#17895=STYLED_ITEM('',(#32391),#18739); +#17896=STYLED_ITEM('',(#32389),#1090); +#17897=STYLED_ITEM('',(#32393),#18740); +#17898=STYLED_ITEM('',(#32391),#18741); +#17899=STYLED_ITEM('',(#32391),#18742); +#17900=STYLED_ITEM('',(#32393),#18743); +#17901=STYLED_ITEM('',(#32393),#18744); +#17902=STYLED_ITEM('',(#32391),#18745); +#17903=STYLED_ITEM('',(#32391),#18746); +#17904=STYLED_ITEM('',(#32391),#18747); +#17905=STYLED_ITEM('',(#32391),#18748); +#17906=STYLED_ITEM('',(#32391),#18749); +#17907=STYLED_ITEM('',(#32391),#18750); +#17908=STYLED_ITEM('',(#32393),#18751); +#17909=STYLED_ITEM('',(#32393),#18752); +#17910=STYLED_ITEM('',(#32391),#18753); +#17911=STYLED_ITEM('',(#32391),#18754); +#17912=STYLED_ITEM('',(#32391),#18755); +#17913=STYLED_ITEM('',(#32391),#18756); +#17914=STYLED_ITEM('',(#32391),#18757); +#17915=STYLED_ITEM('',(#32391),#18758); +#17916=STYLED_ITEM('',(#32391),#18759); +#17917=STYLED_ITEM('',(#32391),#18760); +#17918=STYLED_ITEM('',(#32393),#18761); +#17919=STYLED_ITEM('',(#32393),#18762); +#17920=STYLED_ITEM('',(#32391),#18763); +#17921=STYLED_ITEM('',(#32391),#18764); +#17922=STYLED_ITEM('',(#32391),#18765); +#17923=STYLED_ITEM('',(#32391),#18766); +#17924=STYLED_ITEM('',(#32391),#18767); +#17925=STYLED_ITEM('',(#32391),#18768); +#17926=STYLED_ITEM('',(#32391),#18769); +#17927=STYLED_ITEM('',(#32391),#18770); +#17928=STYLED_ITEM('',(#32391),#18771); +#17929=STYLED_ITEM('',(#32391),#18772); +#17930=STYLED_ITEM('',(#32393),#18773); +#17931=STYLED_ITEM('',(#32391),#18774); +#17932=STYLED_ITEM('',(#32391),#18775); +#17933=STYLED_ITEM('',(#32391),#18776); +#17934=STYLED_ITEM('',(#32391),#18777); +#17935=STYLED_ITEM('',(#32391),#18778); +#17936=STYLED_ITEM('',(#32391),#18779); +#17937=STYLED_ITEM('',(#32391),#18780); +#17938=STYLED_ITEM('',(#32391),#18781); +#17939=STYLED_ITEM('',(#32389),#1091); +#17940=STYLED_ITEM('',(#32391),#18783); +#17941=STYLED_ITEM('',(#32391),#18784); +#17942=STYLED_ITEM('',(#32391),#18787); +#17943=STYLED_ITEM('',(#32391),#18788); +#17944=STYLED_ITEM('',(#32391),#18789); +#17945=STYLED_ITEM('',(#32391),#18790); +#17946=STYLED_ITEM('',(#32391),#18791); +#17947=STYLED_ITEM('',(#32391),#18792); +#17948=STYLED_ITEM('',(#32391),#18795); +#17949=STYLED_ITEM('',(#32391),#18796); +#17950=STYLED_ITEM('',(#32391),#18797); +#17951=STYLED_ITEM('',(#32391),#18798); +#17952=STYLED_ITEM('',(#32391),#18799); +#17953=STYLED_ITEM('',(#32391),#18800); +#17954=STYLED_ITEM('',(#32391),#18801); +#17955=STYLED_ITEM('',(#32391),#18802); +#17956=STYLED_ITEM('',(#32391),#18805); +#17957=STYLED_ITEM('',(#32391),#18806); +#17958=STYLED_ITEM('',(#32391),#18807); +#17959=STYLED_ITEM('',(#32391),#18808); +#17960=STYLED_ITEM('',(#32391),#18809); +#17961=STYLED_ITEM('',(#32391),#18810); +#17962=STYLED_ITEM('',(#32391),#18811); +#17963=STYLED_ITEM('',(#32391),#18812); +#17964=STYLED_ITEM('',(#32391),#18813); +#17965=STYLED_ITEM('',(#32391),#18814); +#17966=STYLED_ITEM('',(#32391),#18816); +#17967=STYLED_ITEM('',(#32391),#18817); +#17968=STYLED_ITEM('',(#32391),#18818); +#17969=STYLED_ITEM('',(#32391),#18819); +#17970=STYLED_ITEM('',(#32391),#18820); +#17971=STYLED_ITEM('',(#32391),#18821); +#17972=STYLED_ITEM('',(#32391),#18822); +#17973=STYLED_ITEM('',(#32391),#18823); +#17974=STYLED_ITEM('',(#32394),#1092); +#17975=STYLED_ITEM('',(#32396),#18834); +#17976=STYLED_ITEM('',(#32396),#18835); +#17977=STYLED_ITEM('',(#32396),#18836); +#17978=STYLED_ITEM('',(#32396),#18837); +#17979=STYLED_ITEM('',(#32396),#18838); +#17980=STYLED_ITEM('',(#32396),#18839); +#17981=STYLED_ITEM('',(#32396),#18840); +#17982=STYLED_ITEM('',(#32396),#18841); +#17983=STYLED_ITEM('',(#32396),#18866); +#17984=STYLED_ITEM('',(#32396),#18867); +#17985=STYLED_ITEM('',(#32396),#18868); +#17986=STYLED_ITEM('',(#32396),#18869); +#17987=STYLED_ITEM('',(#32396),#18870); +#17988=STYLED_ITEM('',(#32396),#18871); +#17989=STYLED_ITEM('',(#32396),#18872); +#17990=STYLED_ITEM('',(#32396),#18873); +#17991=STYLED_ITEM('',(#32396),#18874); +#17992=STYLED_ITEM('',(#32396),#18875); +#17993=STYLED_ITEM('',(#32396),#18876); +#17994=STYLED_ITEM('',(#32396),#18877); +#17995=STYLED_ITEM('',(#32396),#18878); +#17996=STYLED_ITEM('',(#32396),#18879); +#17997=STYLED_ITEM('',(#32396),#18880); +#17998=STYLED_ITEM('',(#32396),#18881); +#17999=STYLED_ITEM('',(#32396),#18882); +#18000=STYLED_ITEM('',(#32396),#18883); +#18001=STYLED_ITEM('',(#32396),#18884); +#18002=STYLED_ITEM('',(#32396),#18885); +#18003=STYLED_ITEM('',(#32396),#18886); +#18004=STYLED_ITEM('',(#32396),#18887); +#18005=STYLED_ITEM('',(#32396),#18888); +#18006=STYLED_ITEM('',(#32396),#18889); +#18007=STYLED_ITEM('',(#32396),#18890); +#18008=STYLED_ITEM('',(#32396),#18891); +#18009=STYLED_ITEM('',(#32396),#18892); +#18010=STYLED_ITEM('',(#32396),#18893); +#18011=STYLED_ITEM('',(#32395),#1093); +#18012=STYLED_ITEM('',(#32397),#18899); +#18013=STYLED_ITEM('',(#32397),#18902); +#18014=STYLED_ITEM('',(#32398),#18908); +#18015=STYLED_ITEM('',(#32397),#18914); +#18016=STYLED_ITEM('',(#32381),#1094); +#18017=STYLED_ITEM('',(#32396),#18949); +#18018=STYLED_ITEM('',(#32396),#18950); +#18019=STYLED_ITEM('',(#32396),#18951); +#18020=STYLED_ITEM('',(#32396),#18952); +#18021=STYLED_ITEM('',(#32396),#18953); +#18022=STYLED_ITEM('',(#32396),#18954); +#18023=STYLED_ITEM('',(#32396),#18955); +#18024=STYLED_ITEM('',(#32396),#18956); +#18025=STYLED_ITEM('',(#32396),#18957); +#18026=STYLED_ITEM('',(#32396),#18958); +#18027=STYLED_ITEM('',(#32396),#18959); +#18028=STYLED_ITEM('',(#32396),#18960); +#18029=STYLED_ITEM('',(#32396),#18961); +#18030=STYLED_ITEM('',(#32396),#18962); +#18031=STYLED_ITEM('',(#32396),#18963); +#18032=STYLED_ITEM('',(#32396),#18964); +#18033=STYLED_ITEM('',(#32396),#18965); +#18034=STYLED_ITEM('',(#32396),#18966); +#18035=STYLED_ITEM('',(#32396),#18967); +#18036=STYLED_ITEM('',(#32396),#18968); +#18037=STYLED_ITEM('',(#32396),#18969); +#18038=STYLED_ITEM('',(#32396),#18970); +#18039=STYLED_ITEM('',(#32396),#18971); +#18040=STYLED_ITEM('',(#32396),#18972); +#18041=STYLED_ITEM('',(#32396),#18973); +#18042=STYLED_ITEM('',(#32396),#18974); +#18043=STYLED_ITEM('',(#32396),#18975); +#18044=STYLED_ITEM('',(#32396),#18976); +#18045=STYLED_ITEM('',(#32396),#18977); +#18046=STYLED_ITEM('',(#32396),#18978); +#18047=STYLED_ITEM('',(#32396),#18979); +#18048=STYLED_ITEM('',(#32396),#18980); +#18049=STYLED_ITEM('',(#32396),#18981); +#18050=STYLED_ITEM('',(#32396),#18982); +#18051=STYLED_ITEM('',(#32396),#18983); +#18052=STYLED_ITEM('',(#32396),#18984); +#18053=STYLED_ITEM('',(#32396),#18985); +#18054=STYLED_ITEM('',(#32396),#18986); +#18055=STYLED_ITEM('',(#32396),#18987); +#18056=STYLED_ITEM('',(#32396),#18988); +#18057=STYLED_ITEM('',(#32396),#18989); +#18058=STYLED_ITEM('',(#32396),#18990); +#18059=STYLED_ITEM('',(#32396),#18991); +#18060=STYLED_ITEM('',(#32396),#18992); +#18061=STYLED_ITEM('',(#32396),#18993); +#18062=STYLED_ITEM('',(#32396),#18994); +#18063=STYLED_ITEM('',(#32396),#18995); +#18064=STYLED_ITEM('',(#32396),#18996); +#18065=STYLED_ITEM('',(#32396),#18997); +#18066=STYLED_ITEM('',(#32396),#18998); +#18067=STYLED_ITEM('',(#32396),#18999); +#18068=STYLED_ITEM('',(#32396),#19000); +#18069=STYLED_ITEM('',(#32396),#19001); +#18070=STYLED_ITEM('',(#32396),#19002); +#18071=STYLED_ITEM('',(#32396),#19003); +#18072=STYLED_ITEM('',(#32396),#19004); +#18073=STYLED_ITEM('',(#32396),#19005); +#18074=STYLED_ITEM('',(#32396),#19006); +#18075=STYLED_ITEM('',(#32396),#19007); +#18076=STYLED_ITEM('',(#32396),#19008); +#18077=STYLED_ITEM('',(#32396),#19009); +#18078=STYLED_ITEM('',(#32396),#19010); +#18079=STYLED_ITEM('',(#32396),#19011); +#18080=STYLED_ITEM('',(#32396),#19012); +#18081=STYLED_ITEM('',(#32396),#19013); +#18082=STYLED_ITEM('',(#32396),#19014); +#18083=STYLED_ITEM('',(#32396),#19015); +#18084=STYLED_ITEM('',(#32396),#19016); +#18085=STYLED_ITEM('',(#32396),#19017); +#18086=STYLED_ITEM('',(#32396),#19018); +#18087=STYLED_ITEM('',(#32396),#19019); +#18088=STYLED_ITEM('',(#32396),#19020); +#18089=STYLED_ITEM('',(#32396),#19021); +#18090=STYLED_ITEM('',(#32396),#19022); +#18091=STYLED_ITEM('',(#32396),#19023); +#18092=STYLED_ITEM('',(#32396),#19024); +#18093=STYLED_ITEM('',(#32396),#19025); +#18094=STYLED_ITEM('',(#32396),#19026); +#18095=STYLED_ITEM('',(#32395),#1095); +#18096=STYLED_ITEM('',(#32383),#19096); +#18097=STYLED_ITEM('',(#32383),#19141); +#18098=STYLED_ITEM('',(#32383),#19142); +#18099=STYLED_ITEM('',(#32383),#19143); +#18100=STYLED_ITEM('',(#32383),#19144); +#18101=STYLED_ITEM('',(#32383),#19145); +#18102=STYLED_ITEM('',(#32383),#19146); +#18103=STYLED_ITEM('',(#32383),#19147); +#18104=STYLED_ITEM('',(#32383),#19148); +#18105=STYLED_ITEM('',(#32383),#19149); +#18106=STYLED_ITEM('',(#32383),#19150); +#18107=STYLED_ITEM('',(#32383),#19151); +#18108=STYLED_ITEM('',(#32383),#19152); +#18109=STYLED_ITEM('',(#32383),#19153); +#18110=STYLED_ITEM('',(#32383),#19154); +#18111=STYLED_ITEM('',(#32383),#19155); +#18112=STYLED_ITEM('',(#32383),#19156); +#18113=STYLED_ITEM('',(#32383),#19204); +#18114=STYLED_ITEM('',(#32383),#19205); +#18115=STYLED_ITEM('',(#32383),#19206); +#18116=STYLED_ITEM('',(#32383),#19207); +#18117=STYLED_ITEM('',(#32383),#19208); +#18118=STYLED_ITEM('',(#32382),#1096); +#18119=STYLED_ITEM('',(#32399),#16); +#18120=ADVANCED_FACE('',(#1206,#1141,#1142,#1143,#1144,#1145,#1146,#1147), +#16943,.T.); +#18121=ADVANCED_FACE('',(#1207,#1148,#1149,#1150,#1151,#1152,#1153,#1154), +#16944,.T.); +#18122=ADVANCED_FACE('',(#1208,#1155,#1156,#1157,#1158,#1159,#1160,#1161), +#16945,.T.); +#18123=ADVANCED_FACE('',(#1209,#1162,#1163,#1164,#1165,#1166,#1167,#1168), +#16946,.T.); +#18124=ADVANCED_FACE('',(#1210),#16947,.T.); +#18125=ADVANCED_FACE('',(#1211,#1169),#16948,.T.); +#18126=ADVANCED_FACE('',(#1212),#16949,.T.); +#18127=ADVANCED_FACE('',(#1213),#1131,.T.); +#18128=ADVANCED_FACE('',(#1214),#16950,.T.); +#18129=ADVANCED_FACE('',(#1215),#16951,.T.); +#18130=ADVANCED_FACE('',(#1216),#16952,.T.); +#18131=ADVANCED_FACE('',(#1217),#16953,.T.); +#18132=ADVANCED_FACE('',(#1218),#16954,.T.); +#18133=ADVANCED_FACE('',(#1219),#16955,.T.); +#18134=ADVANCED_FACE('',(#1220),#16956,.T.); +#18135=ADVANCED_FACE('',(#1221),#16957,.T.); +#18136=ADVANCED_FACE('',(#1222),#16958,.T.); +#18137=ADVANCED_FACE('',(#1223),#16959,.T.); +#18138=ADVANCED_FACE('',(#1224),#16960,.T.); +#18139=ADVANCED_FACE('',(#1225),#16961,.T.); +#18140=ADVANCED_FACE('',(#1226),#16962,.T.); +#18141=ADVANCED_FACE('',(#1227),#16963,.T.); +#18142=ADVANCED_FACE('',(#1228),#16964,.T.); +#18143=ADVANCED_FACE('',(#1229),#16965,.T.); +#18144=ADVANCED_FACE('',(#1230),#16966,.T.); +#18145=ADVANCED_FACE('',(#1231),#16967,.T.); +#18146=ADVANCED_FACE('',(#1232),#16968,.T.); +#18147=ADVANCED_FACE('',(#1233),#16969,.T.); +#18148=ADVANCED_FACE('',(#1234),#16970,.T.); +#18149=ADVANCED_FACE('',(#1235),#16971,.T.); +#18150=ADVANCED_FACE('',(#1236),#16972,.T.); +#18151=ADVANCED_FACE('',(#1237),#16973,.T.); +#18152=ADVANCED_FACE('',(#1238),#16974,.T.); +#18153=ADVANCED_FACE('',(#1239),#16975,.T.); +#18154=ADVANCED_FACE('',(#1240),#16976,.T.); +#18155=ADVANCED_FACE('',(#1241),#16977,.T.); +#18156=ADVANCED_FACE('',(#1242),#16978,.T.); +#18157=ADVANCED_FACE('',(#1243),#16979,.T.); +#18158=ADVANCED_FACE('',(#1244),#16980,.T.); +#18159=ADVANCED_FACE('',(#1245),#16981,.T.); +#18160=ADVANCED_FACE('',(#1246),#16982,.T.); +#18161=ADVANCED_FACE('',(#1247),#16983,.T.); +#18162=ADVANCED_FACE('',(#1248),#16984,.T.); +#18163=ADVANCED_FACE('',(#1249),#16985,.T.); +#18164=ADVANCED_FACE('',(#1250),#16986,.T.); +#18165=ADVANCED_FACE('',(#1251),#16987,.T.); +#18166=ADVANCED_FACE('',(#1252),#16988,.T.); +#18167=ADVANCED_FACE('',(#1253),#16989,.T.); +#18168=ADVANCED_FACE('',(#1254),#16990,.T.); +#18169=ADVANCED_FACE('',(#1255),#16991,.T.); +#18170=ADVANCED_FACE('',(#1256),#16992,.T.); +#18171=ADVANCED_FACE('',(#1257),#16993,.T.); +#18172=ADVANCED_FACE('',(#1258),#16994,.T.); +#18173=ADVANCED_FACE('',(#1259),#16995,.T.); +#18174=ADVANCED_FACE('',(#1260),#16996,.T.); +#18175=ADVANCED_FACE('',(#1261),#16997,.T.); +#18176=ADVANCED_FACE('',(#1262),#16998,.T.); +#18177=ADVANCED_FACE('',(#1263),#16999,.T.); +#18178=ADVANCED_FACE('',(#1264),#17000,.T.); +#18179=ADVANCED_FACE('',(#1265),#17001,.T.); +#18180=ADVANCED_FACE('',(#1266),#17002,.T.); +#18181=ADVANCED_FACE('',(#1267),#17003,.T.); +#18182=ADVANCED_FACE('',(#1268),#17004,.T.); +#18183=ADVANCED_FACE('',(#1269),#17005,.T.); +#18184=ADVANCED_FACE('',(#1270),#17006,.T.); +#18185=ADVANCED_FACE('',(#1271),#17007,.T.); +#18186=ADVANCED_FACE('',(#1272),#17008,.T.); +#18187=ADVANCED_FACE('',(#1273),#17009,.T.); +#18188=ADVANCED_FACE('',(#1274),#17010,.T.); +#18189=ADVANCED_FACE('',(#1275),#17011,.T.); +#18190=ADVANCED_FACE('',(#1276),#17012,.T.); +#18191=ADVANCED_FACE('',(#1277),#17013,.T.); +#18192=ADVANCED_FACE('',(#1278),#17014,.T.); +#18193=ADVANCED_FACE('',(#1279),#17015,.T.); +#18194=ADVANCED_FACE('',(#1280),#17016,.T.); +#18195=ADVANCED_FACE('',(#1281),#17017,.T.); +#18196=ADVANCED_FACE('',(#1282),#17018,.T.); +#18197=ADVANCED_FACE('',(#1283),#17019,.T.); +#18198=ADVANCED_FACE('',(#1284),#17020,.T.); +#18199=ADVANCED_FACE('',(#1285),#17021,.T.); +#18200=ADVANCED_FACE('',(#1286),#17022,.T.); +#18201=ADVANCED_FACE('',(#1287),#17023,.T.); +#18202=ADVANCED_FACE('',(#1288),#17024,.T.); +#18203=ADVANCED_FACE('',(#1289),#17025,.T.); +#18204=ADVANCED_FACE('',(#1290),#17026,.T.); +#18205=ADVANCED_FACE('',(#1291),#17027,.T.); +#18206=ADVANCED_FACE('',(#1292),#17028,.T.); +#18207=ADVANCED_FACE('',(#1293),#17029,.T.); +#18208=ADVANCED_FACE('',(#1294),#17030,.T.); +#18209=ADVANCED_FACE('',(#1295),#17031,.T.); +#18210=ADVANCED_FACE('',(#1296),#17032,.T.); +#18211=ADVANCED_FACE('',(#1297),#17033,.T.); +#18212=ADVANCED_FACE('',(#1298),#17034,.T.); +#18213=ADVANCED_FACE('',(#1299),#17035,.T.); +#18214=ADVANCED_FACE('',(#1300),#17036,.T.); +#18215=ADVANCED_FACE('',(#1301),#17037,.T.); +#18216=ADVANCED_FACE('',(#1302),#17038,.T.); +#18217=ADVANCED_FACE('',(#1303),#17039,.T.); +#18218=ADVANCED_FACE('',(#1304),#17040,.T.); +#18219=ADVANCED_FACE('',(#1305),#17041,.T.); +#18220=ADVANCED_FACE('',(#1306),#17042,.T.); +#18221=ADVANCED_FACE('',(#1307),#17043,.T.); +#18222=ADVANCED_FACE('',(#1308),#17044,.T.); +#18223=ADVANCED_FACE('',(#1309),#17045,.T.); +#18224=ADVANCED_FACE('',(#1310),#17046,.T.); +#18225=ADVANCED_FACE('',(#1311),#17047,.T.); +#18226=ADVANCED_FACE('',(#1312),#17048,.T.); +#18227=ADVANCED_FACE('',(#1313),#17049,.T.); +#18228=ADVANCED_FACE('',(#1314),#17050,.T.); +#18229=ADVANCED_FACE('',(#1315),#17051,.T.); +#18230=ADVANCED_FACE('',(#1316),#17052,.T.); +#18231=ADVANCED_FACE('',(#1317),#17053,.T.); +#18232=ADVANCED_FACE('',(#1318),#17054,.T.); +#18233=ADVANCED_FACE('',(#1319),#17055,.T.); +#18234=ADVANCED_FACE('',(#1320),#17056,.T.); +#18235=ADVANCED_FACE('',(#1321),#17057,.T.); +#18236=ADVANCED_FACE('',(#1322),#17058,.T.); +#18237=ADVANCED_FACE('',(#1323),#17059,.T.); +#18238=ADVANCED_FACE('',(#1324),#17060,.T.); +#18239=ADVANCED_FACE('',(#1325),#17061,.T.); +#18240=ADVANCED_FACE('',(#1326),#17062,.T.); +#18241=ADVANCED_FACE('',(#1327),#17063,.T.); +#18242=ADVANCED_FACE('',(#1328),#17064,.T.); +#18243=ADVANCED_FACE('',(#1329),#17065,.T.); +#18244=ADVANCED_FACE('',(#1330),#17066,.T.); +#18245=ADVANCED_FACE('',(#1331),#17067,.T.); +#18246=ADVANCED_FACE('',(#1332),#17068,.T.); +#18247=ADVANCED_FACE('',(#1333),#17069,.T.); +#18248=ADVANCED_FACE('',(#1334),#17070,.T.); +#18249=ADVANCED_FACE('',(#1335),#17071,.T.); +#18250=ADVANCED_FACE('',(#1336),#17072,.T.); +#18251=ADVANCED_FACE('',(#1337),#17073,.T.); +#18252=ADVANCED_FACE('',(#1338),#17074,.T.); +#18253=ADVANCED_FACE('',(#1339),#17075,.T.); +#18254=ADVANCED_FACE('',(#1340),#17076,.T.); +#18255=ADVANCED_FACE('',(#1341),#17077,.T.); +#18256=ADVANCED_FACE('',(#1342),#17078,.T.); +#18257=ADVANCED_FACE('',(#1343),#17079,.T.); +#18258=ADVANCED_FACE('',(#1344),#17080,.T.); +#18259=ADVANCED_FACE('',(#1345),#17081,.T.); +#18260=ADVANCED_FACE('',(#1346),#17082,.T.); +#18261=ADVANCED_FACE('',(#1347),#17083,.T.); +#18262=ADVANCED_FACE('',(#1348),#17084,.T.); +#18263=ADVANCED_FACE('',(#1349),#17085,.T.); +#18264=ADVANCED_FACE('',(#1350),#17086,.T.); +#18265=ADVANCED_FACE('',(#1351),#17087,.T.); +#18266=ADVANCED_FACE('',(#1352),#17088,.T.); +#18267=ADVANCED_FACE('',(#1353),#17089,.T.); +#18268=ADVANCED_FACE('',(#1354),#1132,.T.); +#18269=ADVANCED_FACE('',(#1355),#17090,.T.); +#18270=ADVANCED_FACE('',(#1356),#17091,.T.); +#18271=ADVANCED_FACE('',(#1357),#17092,.T.); +#18272=ADVANCED_FACE('',(#1358),#17093,.T.); +#18273=ADVANCED_FACE('',(#1359),#17094,.T.); +#18274=ADVANCED_FACE('',(#1360),#17095,.T.); +#18275=ADVANCED_FACE('',(#1361),#17096,.T.); +#18276=ADVANCED_FACE('',(#1362),#17097,.T.); +#18277=ADVANCED_FACE('',(#1363),#17098,.T.); +#18278=ADVANCED_FACE('',(#1364),#17099,.T.); +#18279=ADVANCED_FACE('',(#1365),#17100,.T.); +#18280=ADVANCED_FACE('',(#1366),#17101,.T.); +#18281=ADVANCED_FACE('',(#1367),#17102,.T.); +#18282=ADVANCED_FACE('',(#1368),#17103,.T.); +#18283=ADVANCED_FACE('',(#1369),#17104,.T.); +#18284=ADVANCED_FACE('',(#1370),#17105,.T.); +#18285=ADVANCED_FACE('',(#1371),#17106,.T.); +#18286=ADVANCED_FACE('',(#1372),#17107,.T.); +#18287=ADVANCED_FACE('',(#1373),#17108,.T.); +#18288=ADVANCED_FACE('',(#1374),#17109,.T.); +#18289=ADVANCED_FACE('',(#1375),#17110,.T.); +#18290=ADVANCED_FACE('',(#1376),#17111,.T.); +#18291=ADVANCED_FACE('',(#1377),#17112,.T.); +#18292=ADVANCED_FACE('',(#1378),#17113,.T.); +#18293=ADVANCED_FACE('',(#1379),#17114,.T.); +#18294=ADVANCED_FACE('',(#1380),#17115,.T.); +#18295=ADVANCED_FACE('',(#1381),#17116,.T.); +#18296=ADVANCED_FACE('',(#1382),#17117,.T.); +#18297=ADVANCED_FACE('',(#1383),#17118,.T.); +#18298=ADVANCED_FACE('',(#1384),#17119,.T.); +#18299=ADVANCED_FACE('',(#1385),#17120,.T.); +#18300=ADVANCED_FACE('',(#1386),#17121,.T.); +#18301=ADVANCED_FACE('',(#1387),#17122,.T.); +#18302=ADVANCED_FACE('',(#1388),#17123,.T.); +#18303=ADVANCED_FACE('',(#1389),#17124,.T.); +#18304=ADVANCED_FACE('',(#1390),#17125,.T.); +#18305=ADVANCED_FACE('',(#1391),#17126,.T.); +#18306=ADVANCED_FACE('',(#1392),#17127,.T.); +#18307=ADVANCED_FACE('',(#1393),#17128,.T.); +#18308=ADVANCED_FACE('',(#1394),#17129,.T.); +#18309=ADVANCED_FACE('',(#1395),#17130,.T.); +#18310=ADVANCED_FACE('',(#1396),#17131,.T.); +#18311=ADVANCED_FACE('',(#1397),#17132,.T.); +#18312=ADVANCED_FACE('',(#1398),#17133,.T.); +#18313=ADVANCED_FACE('',(#1399),#17134,.T.); +#18314=ADVANCED_FACE('',(#1400),#17135,.T.); +#18315=ADVANCED_FACE('',(#1401),#17136,.T.); +#18316=ADVANCED_FACE('',(#1402),#17137,.T.); +#18317=ADVANCED_FACE('',(#1403),#17138,.T.); +#18318=ADVANCED_FACE('',(#1404),#17139,.T.); +#18319=ADVANCED_FACE('',(#1405),#17140,.T.); +#18320=ADVANCED_FACE('',(#1406),#17141,.T.); +#18321=ADVANCED_FACE('',(#1407),#17142,.T.); +#18322=ADVANCED_FACE('',(#1408),#17143,.T.); +#18323=ADVANCED_FACE('',(#1409),#17144,.T.); +#18324=ADVANCED_FACE('',(#1410),#17145,.T.); +#18325=ADVANCED_FACE('',(#1411),#17146,.T.); +#18326=ADVANCED_FACE('',(#1412),#17147,.T.); +#18327=ADVANCED_FACE('',(#1413),#17148,.T.); +#18328=ADVANCED_FACE('',(#1414),#17149,.T.); +#18329=ADVANCED_FACE('',(#1415),#17150,.T.); +#18330=ADVANCED_FACE('',(#1416),#17151,.T.); +#18331=ADVANCED_FACE('',(#1417),#17152,.T.); +#18332=ADVANCED_FACE('',(#1418),#17153,.T.); +#18333=ADVANCED_FACE('',(#1419),#17154,.T.); +#18334=ADVANCED_FACE('',(#1420),#17155,.T.); +#18335=ADVANCED_FACE('',(#1421),#17156,.T.); +#18336=ADVANCED_FACE('',(#1422),#17157,.T.); +#18337=ADVANCED_FACE('',(#1423),#17158,.T.); +#18338=ADVANCED_FACE('',(#1424),#17159,.T.); +#18339=ADVANCED_FACE('',(#1425),#17160,.T.); +#18340=ADVANCED_FACE('',(#1426),#17161,.T.); +#18341=ADVANCED_FACE('',(#1427),#17162,.T.); +#18342=ADVANCED_FACE('',(#1428),#17163,.T.); +#18343=ADVANCED_FACE('',(#1429),#17164,.T.); +#18344=ADVANCED_FACE('',(#1430),#17165,.T.); +#18345=ADVANCED_FACE('',(#1431),#17166,.T.); +#18346=ADVANCED_FACE('',(#1432),#17167,.T.); +#18347=ADVANCED_FACE('',(#1433),#17168,.T.); +#18348=ADVANCED_FACE('',(#1434),#17169,.T.); +#18349=ADVANCED_FACE('',(#1435),#17170,.T.); +#18350=ADVANCED_FACE('',(#1436),#17171,.T.); +#18351=ADVANCED_FACE('',(#1437),#17172,.T.); +#18352=ADVANCED_FACE('',(#1438),#17173,.T.); +#18353=ADVANCED_FACE('',(#1439),#17174,.T.); +#18354=ADVANCED_FACE('',(#1440),#17175,.T.); +#18355=ADVANCED_FACE('',(#1441),#17176,.T.); +#18356=ADVANCED_FACE('',(#1442),#17177,.T.); +#18357=ADVANCED_FACE('',(#1443),#17178,.T.); +#18358=ADVANCED_FACE('',(#1444),#17179,.T.); +#18359=ADVANCED_FACE('',(#1445),#17180,.T.); +#18360=ADVANCED_FACE('',(#1446),#17181,.T.); +#18361=ADVANCED_FACE('',(#1447),#17182,.T.); +#18362=ADVANCED_FACE('',(#1448),#17183,.T.); +#18363=ADVANCED_FACE('',(#1449),#17184,.T.); +#18364=ADVANCED_FACE('',(#1450),#17185,.T.); +#18365=ADVANCED_FACE('',(#1451),#17186,.T.); +#18366=ADVANCED_FACE('',(#1452),#17187,.T.); +#18367=ADVANCED_FACE('',(#1453),#17188,.T.); +#18368=ADVANCED_FACE('',(#1454),#17189,.T.); +#18369=ADVANCED_FACE('',(#1455),#17190,.T.); +#18370=ADVANCED_FACE('',(#1456),#17191,.T.); +#18371=ADVANCED_FACE('',(#1457),#17192,.T.); +#18372=ADVANCED_FACE('',(#1458),#17193,.T.); +#18373=ADVANCED_FACE('',(#1459),#17194,.T.); +#18374=ADVANCED_FACE('',(#1460),#17195,.T.); +#18375=ADVANCED_FACE('',(#1461),#17196,.T.); +#18376=ADVANCED_FACE('',(#1462),#17197,.T.); +#18377=ADVANCED_FACE('',(#1463),#17198,.T.); +#18378=ADVANCED_FACE('',(#1464),#17199,.T.); +#18379=ADVANCED_FACE('',(#1465),#17200,.T.); +#18380=ADVANCED_FACE('',(#1466),#17201,.T.); +#18381=ADVANCED_FACE('',(#1467),#17202,.T.); +#18382=ADVANCED_FACE('',(#1468),#17203,.T.); +#18383=ADVANCED_FACE('',(#1469),#17204,.T.); +#18384=ADVANCED_FACE('',(#1470),#17205,.T.); +#18385=ADVANCED_FACE('',(#1471),#17206,.T.); +#18386=ADVANCED_FACE('',(#1472),#17207,.T.); +#18387=ADVANCED_FACE('',(#1473),#17208,.T.); +#18388=ADVANCED_FACE('',(#1474),#17209,.T.); +#18389=ADVANCED_FACE('',(#1475),#17210,.T.); +#18390=ADVANCED_FACE('',(#1476),#17211,.T.); +#18391=ADVANCED_FACE('',(#1477),#17212,.T.); +#18392=ADVANCED_FACE('',(#1478),#17213,.T.); +#18393=ADVANCED_FACE('',(#1479),#17214,.T.); +#18394=ADVANCED_FACE('',(#1480),#17215,.T.); +#18395=ADVANCED_FACE('',(#1481),#17216,.T.); +#18396=ADVANCED_FACE('',(#1482),#17217,.T.); +#18397=ADVANCED_FACE('',(#1483),#17218,.T.); +#18398=ADVANCED_FACE('',(#1484),#17219,.T.); +#18399=ADVANCED_FACE('',(#1485),#17220,.T.); +#18400=ADVANCED_FACE('',(#1486),#17221,.T.); +#18401=ADVANCED_FACE('',(#1487),#17222,.T.); +#18402=ADVANCED_FACE('',(#1488),#17223,.T.); +#18403=ADVANCED_FACE('',(#1489),#17224,.T.); +#18404=ADVANCED_FACE('',(#1490),#17225,.T.); +#18405=ADVANCED_FACE('',(#1491),#17226,.T.); +#18406=ADVANCED_FACE('',(#1492),#17227,.T.); +#18407=ADVANCED_FACE('',(#1493),#17228,.T.); +#18408=ADVANCED_FACE('',(#1494),#17229,.T.); +#18409=ADVANCED_FACE('',(#1495),#17230,.T.); +#18410=ADVANCED_FACE('',(#1496),#17231,.T.); +#18411=ADVANCED_FACE('',(#1497),#17232,.T.); +#18412=ADVANCED_FACE('',(#1498),#17233,.T.); +#18413=ADVANCED_FACE('',(#1499),#17234,.T.); +#18414=ADVANCED_FACE('',(#1500),#17235,.T.); +#18415=ADVANCED_FACE('',(#1501),#17236,.T.); +#18416=ADVANCED_FACE('',(#1502),#17237,.T.); +#18417=ADVANCED_FACE('',(#1503),#17238,.T.); +#18418=ADVANCED_FACE('',(#1504),#17239,.T.); +#18419=ADVANCED_FACE('',(#1505),#17240,.T.); +#18420=ADVANCED_FACE('',(#1506),#17241,.T.); +#18421=ADVANCED_FACE('',(#1507),#17242,.T.); +#18422=ADVANCED_FACE('',(#1508),#17243,.T.); +#18423=ADVANCED_FACE('',(#1509),#17244,.T.); +#18424=ADVANCED_FACE('',(#1510),#17245,.T.); +#18425=ADVANCED_FACE('',(#1511),#17246,.T.); +#18426=ADVANCED_FACE('',(#1512),#17247,.T.); +#18427=ADVANCED_FACE('',(#1513),#17248,.T.); +#18428=ADVANCED_FACE('',(#1514),#17249,.T.); +#18429=ADVANCED_FACE('',(#1515),#17250,.T.); +#18430=ADVANCED_FACE('',(#1516),#17251,.T.); +#18431=ADVANCED_FACE('',(#1517),#17252,.T.); +#18432=ADVANCED_FACE('',(#1518),#17253,.T.); +#18433=ADVANCED_FACE('',(#1519),#17254,.T.); +#18434=ADVANCED_FACE('',(#1520),#17255,.T.); +#18435=ADVANCED_FACE('',(#1521),#17256,.T.); +#18436=ADVANCED_FACE('',(#1522),#17257,.T.); +#18437=ADVANCED_FACE('',(#1523),#17258,.T.); +#18438=ADVANCED_FACE('',(#1524),#17259,.T.); +#18439=ADVANCED_FACE('',(#1525),#17260,.T.); +#18440=ADVANCED_FACE('',(#1526),#774,.T.); +#18441=ADVANCED_FACE('',(#1527),#17261,.T.); +#18442=ADVANCED_FACE('',(#1528),#17262,.T.); +#18443=ADVANCED_FACE('',(#1529),#17263,.T.); +#18444=ADVANCED_FACE('',(#1530),#17264,.T.); +#18445=ADVANCED_FACE('',(#1531),#17265,.T.); +#18446=ADVANCED_FACE('',(#1532),#775,.T.); +#18447=ADVANCED_FACE('',(#1533),#17266,.T.); +#18448=ADVANCED_FACE('',(#1534),#17267,.T.); +#18449=ADVANCED_FACE('',(#1535),#17268,.T.); +#18450=ADVANCED_FACE('',(#1536),#17269,.T.); +#18451=ADVANCED_FACE('',(#1537),#17270,.T.); +#18452=ADVANCED_FACE('',(#1538),#776,.T.); +#18453=ADVANCED_FACE('',(#1539),#17271,.T.); +#18454=ADVANCED_FACE('',(#1540),#17272,.T.); +#18455=ADVANCED_FACE('',(#1541),#17273,.T.); +#18456=ADVANCED_FACE('',(#1542),#17274,.T.); +#18457=ADVANCED_FACE('',(#1543),#17275,.T.); +#18458=ADVANCED_FACE('',(#1544),#777,.T.); +#18459=ADVANCED_FACE('',(#1545),#17276,.T.); +#18460=ADVANCED_FACE('',(#1546),#17277,.T.); +#18461=ADVANCED_FACE('',(#1547),#17278,.T.); +#18462=ADVANCED_FACE('',(#1548),#17279,.T.); +#18463=ADVANCED_FACE('',(#1549),#17280,.T.); +#18464=ADVANCED_FACE('',(#1550),#778,.T.); +#18465=ADVANCED_FACE('',(#1551),#17281,.T.); +#18466=ADVANCED_FACE('',(#1552),#17282,.T.); +#18467=ADVANCED_FACE('',(#1553),#17283,.T.); +#18468=ADVANCED_FACE('',(#1554),#17284,.T.); +#18469=ADVANCED_FACE('',(#1555),#17285,.T.); +#18470=ADVANCED_FACE('',(#1556),#779,.T.); +#18471=ADVANCED_FACE('',(#1557),#17286,.T.); +#18472=ADVANCED_FACE('',(#1558),#17287,.T.); +#18473=ADVANCED_FACE('',(#1559),#17288,.T.); +#18474=ADVANCED_FACE('',(#1560),#17289,.T.); +#18475=ADVANCED_FACE('',(#1561),#17290,.T.); +#18476=ADVANCED_FACE('',(#1562),#780,.T.); +#18477=ADVANCED_FACE('',(#1563),#17291,.T.); +#18478=ADVANCED_FACE('',(#1564),#17292,.T.); +#18479=ADVANCED_FACE('',(#1565),#17293,.T.); +#18480=ADVANCED_FACE('',(#1566),#17294,.T.); +#18481=ADVANCED_FACE('',(#1567),#17295,.T.); +#18482=ADVANCED_FACE('',(#1568),#781,.T.); +#18483=ADVANCED_FACE('',(#1569),#17296,.T.); +#18484=ADVANCED_FACE('',(#1570),#17297,.T.); +#18485=ADVANCED_FACE('',(#1571),#17298,.T.); +#18486=ADVANCED_FACE('',(#1572),#17299,.T.); +#18487=ADVANCED_FACE('',(#1573),#17300,.T.); +#18488=ADVANCED_FACE('',(#1574),#782,.T.); +#18489=ADVANCED_FACE('',(#1575),#17301,.T.); +#18490=ADVANCED_FACE('',(#1576),#17302,.T.); +#18491=ADVANCED_FACE('',(#1577),#17303,.T.); +#18492=ADVANCED_FACE('',(#1578),#17304,.T.); +#18493=ADVANCED_FACE('',(#1579),#17305,.T.); +#18494=ADVANCED_FACE('',(#1580),#783,.T.); +#18495=ADVANCED_FACE('',(#1581),#17306,.T.); +#18496=ADVANCED_FACE('',(#1582),#17307,.T.); +#18497=ADVANCED_FACE('',(#1583),#17308,.T.); +#18498=ADVANCED_FACE('',(#1584),#17309,.T.); +#18499=ADVANCED_FACE('',(#1585),#17310,.T.); +#18500=ADVANCED_FACE('',(#1586),#784,.T.); +#18501=ADVANCED_FACE('',(#1587),#17311,.T.); +#18502=ADVANCED_FACE('',(#1588),#17312,.T.); +#18503=ADVANCED_FACE('',(#1589),#17313,.T.); +#18504=ADVANCED_FACE('',(#1590),#17314,.T.); +#18505=ADVANCED_FACE('',(#1591),#17315,.T.); +#18506=ADVANCED_FACE('',(#1592),#785,.T.); +#18507=ADVANCED_FACE('',(#1593),#17316,.T.); +#18508=ADVANCED_FACE('',(#1594),#17317,.T.); +#18509=ADVANCED_FACE('',(#1595),#17318,.T.); +#18510=ADVANCED_FACE('',(#1596),#17319,.T.); +#18511=ADVANCED_FACE('',(#1597),#17320,.T.); +#18512=ADVANCED_FACE('',(#1598),#786,.T.); +#18513=ADVANCED_FACE('',(#1599),#17321,.T.); +#18514=ADVANCED_FACE('',(#1600),#17322,.T.); +#18515=ADVANCED_FACE('',(#1601),#17323,.T.); +#18516=ADVANCED_FACE('',(#1602),#17324,.T.); +#18517=ADVANCED_FACE('',(#1603),#17325,.T.); +#18518=ADVANCED_FACE('',(#1604),#787,.T.); +#18519=ADVANCED_FACE('',(#1605),#17326,.T.); +#18520=ADVANCED_FACE('',(#1606),#17327,.T.); +#18521=ADVANCED_FACE('',(#1607),#17328,.T.); +#18522=ADVANCED_FACE('',(#1608),#17329,.T.); +#18523=ADVANCED_FACE('',(#1609),#17330,.T.); +#18524=ADVANCED_FACE('',(#1610),#788,.T.); +#18525=ADVANCED_FACE('',(#1611),#17331,.T.); +#18526=ADVANCED_FACE('',(#1612),#17332,.T.); +#18527=ADVANCED_FACE('',(#1613),#17333,.T.); +#18528=ADVANCED_FACE('',(#1614),#17334,.T.); +#18529=ADVANCED_FACE('',(#1615),#17335,.T.); +#18530=ADVANCED_FACE('',(#1616),#789,.T.); +#18531=ADVANCED_FACE('',(#1617),#17336,.T.); +#18532=ADVANCED_FACE('',(#1618),#17337,.T.); +#18533=ADVANCED_FACE('',(#1619),#17338,.T.); +#18534=ADVANCED_FACE('',(#1620),#17339,.T.); +#18535=ADVANCED_FACE('',(#1621),#17340,.T.); +#18536=ADVANCED_FACE('',(#1622),#790,.T.); +#18537=ADVANCED_FACE('',(#1623),#17341,.T.); +#18538=ADVANCED_FACE('',(#1624),#17342,.T.); +#18539=ADVANCED_FACE('',(#1625),#17343,.T.); +#18540=ADVANCED_FACE('',(#1626),#17344,.T.); +#18541=ADVANCED_FACE('',(#1627),#17345,.T.); +#18542=ADVANCED_FACE('',(#1628),#791,.T.); +#18543=ADVANCED_FACE('',(#1629),#17346,.T.); +#18544=ADVANCED_FACE('',(#1630),#17347,.T.); +#18545=ADVANCED_FACE('',(#1631),#17348,.T.); +#18546=ADVANCED_FACE('',(#1632),#17349,.T.); +#18547=ADVANCED_FACE('',(#1633),#17350,.T.); +#18548=ADVANCED_FACE('',(#1634),#792,.T.); +#18549=ADVANCED_FACE('',(#1635),#17351,.T.); +#18550=ADVANCED_FACE('',(#1636),#17352,.T.); +#18551=ADVANCED_FACE('',(#1637),#17353,.T.); +#18552=ADVANCED_FACE('',(#1638),#17354,.T.); +#18553=ADVANCED_FACE('',(#1639),#17355,.T.); +#18554=ADVANCED_FACE('',(#1640),#793,.T.); +#18555=ADVANCED_FACE('',(#1641),#17356,.T.); +#18556=ADVANCED_FACE('',(#1642),#17357,.T.); +#18557=ADVANCED_FACE('',(#1643),#17358,.T.); +#18558=ADVANCED_FACE('',(#1644),#17359,.T.); +#18559=ADVANCED_FACE('',(#1645),#17360,.T.); +#18560=ADVANCED_FACE('',(#1646),#794,.T.); +#18561=ADVANCED_FACE('',(#1647),#17361,.T.); +#18562=ADVANCED_FACE('',(#1648),#17362,.T.); +#18563=ADVANCED_FACE('',(#1649),#17363,.T.); +#18564=ADVANCED_FACE('',(#1650),#17364,.T.); +#18565=ADVANCED_FACE('',(#1651),#17365,.T.); +#18566=ADVANCED_FACE('',(#1652),#795,.T.); +#18567=ADVANCED_FACE('',(#1653),#17366,.T.); +#18568=ADVANCED_FACE('',(#1654),#17367,.T.); +#18569=ADVANCED_FACE('',(#1655),#17368,.T.); +#18570=ADVANCED_FACE('',(#1656),#17369,.T.); +#18571=ADVANCED_FACE('',(#1657),#17370,.T.); +#18572=ADVANCED_FACE('',(#1658),#796,.T.); +#18573=ADVANCED_FACE('',(#1659),#17371,.T.); +#18574=ADVANCED_FACE('',(#1660),#17372,.T.); +#18575=ADVANCED_FACE('',(#1661),#17373,.T.); +#18576=ADVANCED_FACE('',(#1662),#17374,.T.); +#18577=ADVANCED_FACE('',(#1663),#17375,.T.); +#18578=ADVANCED_FACE('',(#1664),#797,.T.); +#18579=ADVANCED_FACE('',(#1665),#17376,.T.); +#18580=ADVANCED_FACE('',(#1666),#17377,.T.); +#18581=ADVANCED_FACE('',(#1667),#17378,.T.); +#18582=ADVANCED_FACE('',(#1668),#17379,.T.); +#18583=ADVANCED_FACE('',(#1669),#17380,.T.); +#18584=ADVANCED_FACE('',(#1670),#798,.T.); +#18585=ADVANCED_FACE('',(#1671),#17381,.T.); +#18586=ADVANCED_FACE('',(#1672),#17382,.T.); +#18587=ADVANCED_FACE('',(#1673),#17383,.T.); +#18588=ADVANCED_FACE('',(#1674),#17384,.T.); +#18589=ADVANCED_FACE('',(#1675),#17385,.T.); +#18590=ADVANCED_FACE('',(#1676),#799,.T.); +#18591=ADVANCED_FACE('',(#1677),#17386,.T.); +#18592=ADVANCED_FACE('',(#1678),#17387,.T.); +#18593=ADVANCED_FACE('',(#1679),#17388,.T.); +#18594=ADVANCED_FACE('',(#1680),#17389,.T.); +#18595=ADVANCED_FACE('',(#1681),#17390,.T.); +#18596=ADVANCED_FACE('',(#1682),#800,.T.); +#18597=ADVANCED_FACE('',(#1683),#17391,.T.); +#18598=ADVANCED_FACE('',(#1684),#17392,.T.); +#18599=ADVANCED_FACE('',(#1685),#17393,.T.); +#18600=ADVANCED_FACE('',(#1686),#17394,.T.); +#18601=ADVANCED_FACE('',(#1687),#17395,.T.); +#18602=ADVANCED_FACE('',(#1688),#801,.T.); +#18603=ADVANCED_FACE('',(#1689),#17396,.T.); +#18604=ADVANCED_FACE('',(#1690),#17397,.T.); +#18605=ADVANCED_FACE('',(#1691),#17398,.T.); +#18606=ADVANCED_FACE('',(#1692),#17399,.T.); +#18607=ADVANCED_FACE('',(#1693),#17400,.T.); +#18608=ADVANCED_FACE('',(#1694),#17401,.T.); +#18609=ADVANCED_FACE('',(#1695),#17402,.T.); +#18610=ADVANCED_FACE('',(#1696),#17403,.T.); +#18611=ADVANCED_FACE('',(#1697),#17404,.T.); +#18612=ADVANCED_FACE('',(#1698),#17405,.T.); +#18613=ADVANCED_FACE('',(#1699),#17406,.T.); +#18614=ADVANCED_FACE('',(#1700),#17407,.T.); +#18615=ADVANCED_FACE('',(#1701),#17408,.T.); +#18616=ADVANCED_FACE('',(#1702),#17409,.T.); +#18617=ADVANCED_FACE('',(#1703),#17410,.T.); +#18618=ADVANCED_FACE('',(#1704),#17411,.T.); +#18619=ADVANCED_FACE('',(#1705),#17412,.T.); +#18620=ADVANCED_FACE('',(#1706),#17413,.T.); +#18621=ADVANCED_FACE('',(#1707),#802,.F.); +#18622=ADVANCED_FACE('',(#1708),#17414,.T.); +#18623=ADVANCED_FACE('',(#1709),#803,.F.); +#18624=ADVANCED_FACE('',(#1710),#17415,.T.); +#18625=ADVANCED_FACE('',(#1711),#804,.F.); +#18626=ADVANCED_FACE('',(#1712),#17416,.T.); +#18627=ADVANCED_FACE('',(#1713),#805,.F.); +#18628=ADVANCED_FACE('',(#1714),#17417,.T.); +#18629=ADVANCED_FACE('',(#1715),#806,.F.); +#18630=ADVANCED_FACE('',(#1716),#807,.F.); +#18631=ADVANCED_FACE('',(#1717),#808,.F.); +#18632=ADVANCED_FACE('',(#1718),#17418,.T.); +#18633=ADVANCED_FACE('',(#1719),#17419,.F.); +#18634=ADVANCED_FACE('',(#1720),#809,.F.); +#18635=ADVANCED_FACE('',(#1721),#210,.F.); +#18636=ADVANCED_FACE('',(#1722),#810,.F.); +#18637=ADVANCED_FACE('',(#1723),#211,.F.); +#18638=ADVANCED_FACE('',(#1724),#811,.F.); +#18639=ADVANCED_FACE('',(#1725),#212,.F.); +#18640=ADVANCED_FACE('',(#1726),#812,.F.); +#18641=ADVANCED_FACE('',(#1727),#213,.F.); +#18642=ADVANCED_FACE('',(#1728),#1133,.F.); +#18643=ADVANCED_FACE('',(#1729),#813,.T.); +#18644=ADVANCED_FACE('',(#1730),#1134,.F.); +#18645=ADVANCED_FACE('',(#1731),#814,.T.); +#18646=ADVANCED_FACE('',(#1732,#1170),#17420,.T.); +#18647=ADVANCED_FACE('',(#1733),#815,.T.); +#18648=ADVANCED_FACE('',(#1734),#1135,.F.); +#18649=ADVANCED_FACE('',(#1735),#816,.T.); +#18650=ADVANCED_FACE('',(#1736),#1136,.F.); +#18651=ADVANCED_FACE('',(#1737),#17421,.T.); +#18652=ADVANCED_FACE('',(#1738),#817,.F.); +#18653=ADVANCED_FACE('',(#1739),#17422,.T.); +#18654=ADVANCED_FACE('',(#1740),#17423,.T.); +#18655=ADVANCED_FACE('',(#1741),#17424,.T.); +#18656=ADVANCED_FACE('',(#1742),#818,.T.); +#18657=ADVANCED_FACE('',(#1743),#819,.T.); +#18658=ADVANCED_FACE('',(#1744),#820,.T.); +#18659=ADVANCED_FACE('',(#1745),#17425,.T.); +#18660=ADVANCED_FACE('',(#1746),#17426,.F.); +#18661=ADVANCED_FACE('',(#1747),#17427,.F.); +#18662=ADVANCED_FACE('',(#1748),#162,.T.); +#18663=ADVANCED_FACE('',(#1749),#17428,.T.); +#18664=ADVANCED_FACE('',(#1750),#17429,.T.); +#18665=ADVANCED_FACE('',(#1751),#163,.T.); +#18666=ADVANCED_FACE('',(#1752),#17430,.F.); +#18667=ADVANCED_FACE('',(#1753),#821,.T.); +#18668=ADVANCED_FACE('',(#1754),#822,.T.); +#18669=ADVANCED_FACE('',(#1755),#823,.T.); +#18670=ADVANCED_FACE('',(#1756),#824,.T.); +#18671=ADVANCED_FACE('',(#1757),#825,.T.); +#18672=ADVANCED_FACE('',(#1758),#826,.T.); +#18673=ADVANCED_FACE('',(#1759),#827,.T.); +#18674=ADVANCED_FACE('',(#1760),#828,.T.); +#18675=ADVANCED_FACE('',(#1761),#829,.T.); +#18676=ADVANCED_FACE('',(#1762),#830,.T.); +#18677=ADVANCED_FACE('',(#1763),#17431,.T.); +#18678=ADVANCED_FACE('',(#1764),#17432,.T.); +#18679=ADVANCED_FACE('',(#1765),#17433,.T.); +#18680=ADVANCED_FACE('',(#1766),#164,.T.); +#18681=ADVANCED_FACE('',(#1767),#17434,.T.); +#18682=ADVANCED_FACE('',(#1768),#165,.T.); +#18683=ADVANCED_FACE('',(#1769),#17435,.T.); +#18684=ADVANCED_FACE('',(#1770),#17436,.T.); +#18685=ADVANCED_FACE('',(#1771),#166,.T.); +#18686=ADVANCED_FACE('',(#1772),#17437,.T.); +#18687=ADVANCED_FACE('',(#1773),#167,.T.); +#18688=ADVANCED_FACE('',(#1774),#17438,.T.); +#18689=ADVANCED_FACE('',(#1775),#831,.T.); +#18690=ADVANCED_FACE('',(#1776),#832,.T.); +#18691=ADVANCED_FACE('',(#1777),#833,.T.); +#18692=ADVANCED_FACE('',(#1778),#834,.T.); +#18693=ADVANCED_FACE('',(#1779),#835,.T.); +#18694=ADVANCED_FACE('',(#1780),#836,.T.); +#18695=ADVANCED_FACE('',(#1781),#837,.T.); +#18696=ADVANCED_FACE('',(#1782),#168,.T.); +#18697=ADVANCED_FACE('',(#1783),#169,.T.); +#18698=ADVANCED_FACE('',(#1784),#838,.T.); +#18699=ADVANCED_FACE('',(#1785),#839,.T.); +#18700=ADVANCED_FACE('',(#1786),#840,.T.); +#18701=ADVANCED_FACE('',(#1787),#17439,.T.); +#18702=ADVANCED_FACE('',(#1788),#17440,.F.); +#18703=ADVANCED_FACE('',(#1789),#17441,.F.); +#18704=ADVANCED_FACE('',(#1790),#170,.T.); +#18705=ADVANCED_FACE('',(#1791),#17442,.T.); +#18706=ADVANCED_FACE('',(#1792),#17443,.T.); +#18707=ADVANCED_FACE('',(#1793),#171,.T.); +#18708=ADVANCED_FACE('',(#1794),#17444,.F.); +#18709=ADVANCED_FACE('',(#1795),#841,.T.); +#18710=ADVANCED_FACE('',(#1796),#842,.T.); +#18711=ADVANCED_FACE('',(#1797),#843,.T.); +#18712=ADVANCED_FACE('',(#1798),#844,.T.); +#18713=ADVANCED_FACE('',(#1799),#845,.T.); +#18714=ADVANCED_FACE('',(#1800),#846,.T.); +#18715=ADVANCED_FACE('',(#1801),#847,.T.); +#18716=ADVANCED_FACE('',(#1802),#848,.T.); +#18717=ADVANCED_FACE('',(#1803),#849,.T.); +#18718=ADVANCED_FACE('',(#1804),#850,.T.); +#18719=ADVANCED_FACE('',(#1805),#17445,.T.); +#18720=ADVANCED_FACE('',(#1806),#17446,.T.); +#18721=ADVANCED_FACE('',(#1807),#17447,.T.); +#18722=ADVANCED_FACE('',(#1808),#172,.T.); +#18723=ADVANCED_FACE('',(#1809),#17448,.T.); +#18724=ADVANCED_FACE('',(#1810),#173,.T.); +#18725=ADVANCED_FACE('',(#1811),#17449,.T.); +#18726=ADVANCED_FACE('',(#1812),#17450,.T.); +#18727=ADVANCED_FACE('',(#1813),#174,.T.); +#18728=ADVANCED_FACE('',(#1814),#17451,.T.); +#18729=ADVANCED_FACE('',(#1815),#175,.T.); +#18730=ADVANCED_FACE('',(#1816),#17452,.T.); +#18731=ADVANCED_FACE('',(#1817),#851,.T.); +#18732=ADVANCED_FACE('',(#1818),#852,.T.); +#18733=ADVANCED_FACE('',(#1819),#853,.T.); +#18734=ADVANCED_FACE('',(#1820),#854,.T.); +#18735=ADVANCED_FACE('',(#1821),#855,.T.); +#18736=ADVANCED_FACE('',(#1822),#856,.T.); +#18737=ADVANCED_FACE('',(#1823),#857,.T.); +#18738=ADVANCED_FACE('',(#1824),#176,.T.); +#18739=ADVANCED_FACE('',(#1825),#177,.T.); +#18740=ADVANCED_FACE('',(#1826),#858,.T.); +#18741=ADVANCED_FACE('',(#1827),#859,.T.); +#18742=ADVANCED_FACE('',(#1828),#860,.T.); +#18743=ADVANCED_FACE('',(#1829),#17453,.T.); +#18744=ADVANCED_FACE('',(#1830),#17454,.F.); +#18745=ADVANCED_FACE('',(#1831),#17455,.F.); +#18746=ADVANCED_FACE('',(#1832),#178,.T.); +#18747=ADVANCED_FACE('',(#1833),#17456,.T.); +#18748=ADVANCED_FACE('',(#1834),#17457,.T.); +#18749=ADVANCED_FACE('',(#1835),#179,.T.); +#18750=ADVANCED_FACE('',(#1836),#17458,.F.); +#18751=ADVANCED_FACE('',(#1837),#861,.T.); +#18752=ADVANCED_FACE('',(#1838),#862,.T.); +#18753=ADVANCED_FACE('',(#1839),#863,.T.); +#18754=ADVANCED_FACE('',(#1840),#864,.T.); +#18755=ADVANCED_FACE('',(#1841),#865,.T.); +#18756=ADVANCED_FACE('',(#1842),#866,.T.); +#18757=ADVANCED_FACE('',(#1843),#867,.T.); +#18758=ADVANCED_FACE('',(#1844),#868,.T.); +#18759=ADVANCED_FACE('',(#1845),#869,.T.); +#18760=ADVANCED_FACE('',(#1846),#870,.T.); +#18761=ADVANCED_FACE('',(#1847),#17459,.T.); +#18762=ADVANCED_FACE('',(#1848),#17460,.T.); +#18763=ADVANCED_FACE('',(#1849),#17461,.T.); +#18764=ADVANCED_FACE('',(#1850),#180,.T.); +#18765=ADVANCED_FACE('',(#1851),#17462,.T.); +#18766=ADVANCED_FACE('',(#1852),#181,.T.); +#18767=ADVANCED_FACE('',(#1853),#17463,.T.); +#18768=ADVANCED_FACE('',(#1854),#17464,.T.); +#18769=ADVANCED_FACE('',(#1855),#182,.T.); +#18770=ADVANCED_FACE('',(#1856),#17465,.T.); +#18771=ADVANCED_FACE('',(#1857),#183,.T.); +#18772=ADVANCED_FACE('',(#1858),#17466,.T.); +#18773=ADVANCED_FACE('',(#1859),#871,.T.); +#18774=ADVANCED_FACE('',(#1860),#872,.T.); +#18775=ADVANCED_FACE('',(#1861),#873,.T.); +#18776=ADVANCED_FACE('',(#1862),#874,.T.); +#18777=ADVANCED_FACE('',(#1863),#875,.T.); +#18778=ADVANCED_FACE('',(#1864),#876,.T.); +#18779=ADVANCED_FACE('',(#1865),#877,.T.); +#18780=ADVANCED_FACE('',(#1866),#184,.T.); +#18781=ADVANCED_FACE('',(#1867),#185,.T.); +#18782=ADVANCED_FACE('',(#1868),#878,.T.); +#18783=ADVANCED_FACE('',(#1869),#879,.T.); +#18784=ADVANCED_FACE('',(#1870),#880,.T.); +#18785=ADVANCED_FACE('',(#1871),#17467,.T.); +#18786=ADVANCED_FACE('',(#1872),#17468,.F.); +#18787=ADVANCED_FACE('',(#1873),#17469,.F.); +#18788=ADVANCED_FACE('',(#1874),#186,.T.); +#18789=ADVANCED_FACE('',(#1875),#17470,.T.); +#18790=ADVANCED_FACE('',(#1876),#17471,.T.); +#18791=ADVANCED_FACE('',(#1877),#187,.T.); +#18792=ADVANCED_FACE('',(#1878),#17472,.F.); +#18793=ADVANCED_FACE('',(#1879),#881,.T.); +#18794=ADVANCED_FACE('',(#1880),#882,.T.); +#18795=ADVANCED_FACE('',(#1881),#883,.T.); +#18796=ADVANCED_FACE('',(#1882),#884,.T.); +#18797=ADVANCED_FACE('',(#1883),#885,.T.); +#18798=ADVANCED_FACE('',(#1884),#886,.T.); +#18799=ADVANCED_FACE('',(#1885),#887,.T.); +#18800=ADVANCED_FACE('',(#1886),#888,.T.); +#18801=ADVANCED_FACE('',(#1887),#889,.T.); +#18802=ADVANCED_FACE('',(#1888),#890,.T.); +#18803=ADVANCED_FACE('',(#1889),#17473,.T.); +#18804=ADVANCED_FACE('',(#1890),#17474,.T.); +#18805=ADVANCED_FACE('',(#1891),#17475,.T.); +#18806=ADVANCED_FACE('',(#1892),#188,.T.); +#18807=ADVANCED_FACE('',(#1893),#17476,.T.); +#18808=ADVANCED_FACE('',(#1894),#189,.T.); +#18809=ADVANCED_FACE('',(#1895),#17477,.T.); +#18810=ADVANCED_FACE('',(#1896),#17478,.T.); +#18811=ADVANCED_FACE('',(#1897),#190,.T.); +#18812=ADVANCED_FACE('',(#1898),#17479,.T.); +#18813=ADVANCED_FACE('',(#1899),#191,.T.); +#18814=ADVANCED_FACE('',(#1900),#17480,.T.); +#18815=ADVANCED_FACE('',(#1901),#891,.T.); +#18816=ADVANCED_FACE('',(#1902),#892,.T.); +#18817=ADVANCED_FACE('',(#1903),#893,.T.); +#18818=ADVANCED_FACE('',(#1904),#894,.T.); +#18819=ADVANCED_FACE('',(#1905),#895,.T.); +#18820=ADVANCED_FACE('',(#1906),#896,.T.); +#18821=ADVANCED_FACE('',(#1907),#897,.T.); +#18822=ADVANCED_FACE('',(#1908),#192,.T.); +#18823=ADVANCED_FACE('',(#1909),#193,.T.); +#18824=ADVANCED_FACE('',(#1910),#17481,.T.); +#18825=ADVANCED_FACE('',(#1911),#17482,.T.); +#18826=ADVANCED_FACE('',(#1912),#17483,.T.); +#18827=ADVANCED_FACE('',(#1913),#17484,.T.); +#18828=ADVANCED_FACE('',(#1914),#17485,.T.); +#18829=ADVANCED_FACE('',(#1915),#17486,.T.); +#18830=ADVANCED_FACE('',(#1916),#17487,.T.); +#18831=ADVANCED_FACE('',(#1917),#17488,.T.); +#18832=ADVANCED_FACE('',(#1918),#17489,.T.); +#18833=ADVANCED_FACE('',(#1919),#17490,.F.); +#18834=ADVANCED_FACE('',(#1920),#17491,.F.); +#18835=ADVANCED_FACE('',(#1921),#17492,.F.); +#18836=ADVANCED_FACE('',(#1922),#17493,.F.); +#18837=ADVANCED_FACE('',(#1923),#17494,.F.); +#18838=ADVANCED_FACE('',(#1924),#17495,.F.); +#18839=ADVANCED_FACE('',(#1925),#17496,.F.); +#18840=ADVANCED_FACE('',(#1926),#17497,.T.); +#18841=ADVANCED_FACE('',(#1927),#17498,.F.); +#18842=ADVANCED_FACE('',(#1928),#898,.T.); +#18843=ADVANCED_FACE('',(#1929),#194,.T.); +#18844=ADVANCED_FACE('',(#1930),#195,.T.); +#18845=ADVANCED_FACE('',(#1931),#899,.T.); +#18846=ADVANCED_FACE('',(#1932),#900,.T.); +#18847=ADVANCED_FACE('',(#1933),#901,.T.); +#18848=ADVANCED_FACE('',(#1934),#902,.T.); +#18849=ADVANCED_FACE('',(#1935),#903,.T.); +#18850=ADVANCED_FACE('',(#1936),#904,.T.); +#18851=ADVANCED_FACE('',(#1937),#196,.T.); +#18852=ADVANCED_FACE('',(#1938),#197,.T.); +#18853=ADVANCED_FACE('',(#1939),#905,.T.); +#18854=ADVANCED_FACE('',(#1940),#906,.T.); +#18855=ADVANCED_FACE('',(#1941),#198,.T.); +#18856=ADVANCED_FACE('',(#1942),#907,.T.); +#18857=ADVANCED_FACE('',(#1943),#908,.T.); +#18858=ADVANCED_FACE('',(#1944),#199,.T.); +#18859=ADVANCED_FACE('',(#1945),#909,.T.); +#18860=ADVANCED_FACE('',(#1946),#910,.T.); +#18861=ADVANCED_FACE('',(#1947),#911,.T.); +#18862=ADVANCED_FACE('',(#1948),#912,.T.); +#18863=ADVANCED_FACE('',(#1949),#200,.T.); +#18864=ADVANCED_FACE('',(#1950),#201,.T.); +#18865=ADVANCED_FACE('',(#1951),#913,.T.); +#18866=ADVANCED_FACE('',(#1952),#914,.F.); +#18867=ADVANCED_FACE('',(#1953),#915,.T.); +#18868=ADVANCED_FACE('',(#1954),#916,.F.); +#18869=ADVANCED_FACE('',(#1955),#917,.T.); +#18870=ADVANCED_FACE('',(#1956),#17499,.F.); +#18871=ADVANCED_FACE('',(#1957),#17500,.F.); +#18872=ADVANCED_FACE('',(#1958),#17501,.F.); +#18873=ADVANCED_FACE('',(#1959),#17502,.F.); +#18874=ADVANCED_FACE('',(#1960),#17503,.F.); +#18875=ADVANCED_FACE('',(#1961),#17504,.F.); +#18876=ADVANCED_FACE('',(#1962),#17505,.T.); +#18877=ADVANCED_FACE('',(#1963),#17506,.F.); +#18878=ADVANCED_FACE('',(#1964),#918,.F.); +#18879=ADVANCED_FACE('',(#1965),#919,.T.); +#18880=ADVANCED_FACE('',(#1966),#920,.F.); +#18881=ADVANCED_FACE('',(#1967),#921,.T.); +#18882=ADVANCED_FACE('',(#1968),#17507,.F.); +#18883=ADVANCED_FACE('',(#1969),#17508,.F.); +#18884=ADVANCED_FACE('',(#1970),#17509,.F.); +#18885=ADVANCED_FACE('',(#1971),#17510,.F.); +#18886=ADVANCED_FACE('',(#1972),#17511,.F.); +#18887=ADVANCED_FACE('',(#1973),#17512,.F.); +#18888=ADVANCED_FACE('',(#1974),#17513,.T.); +#18889=ADVANCED_FACE('',(#1975),#17514,.F.); +#18890=ADVANCED_FACE('',(#1976),#922,.F.); +#18891=ADVANCED_FACE('',(#1977),#923,.T.); +#18892=ADVANCED_FACE('',(#1978),#924,.F.); +#18893=ADVANCED_FACE('',(#1979),#925,.T.); +#18894=ADVANCED_FACE('',(#1980),#17515,.F.); +#18895=ADVANCED_FACE('',(#1981),#17516,.F.); +#18896=ADVANCED_FACE('',(#1982),#17517,.F.); +#18897=ADVANCED_FACE('',(#1983),#17518,.F.); +#18898=ADVANCED_FACE('',(#1984),#17519,.T.); +#18899=ADVANCED_FACE('',(#1985),#17520,.T.); +#18900=ADVANCED_FACE('',(#1986),#17521,.F.); +#18901=ADVANCED_FACE('',(#1987),#17522,.F.); +#18902=ADVANCED_FACE('',(#1988,#1171),#17523,.T.); +#18903=ADVANCED_FACE('',(#1989),#17524,.F.); +#18904=ADVANCED_FACE('',(#1990),#17525,.F.); +#18905=ADVANCED_FACE('',(#1991),#17526,.F.); +#18906=ADVANCED_FACE('',(#1992),#17527,.F.); +#18907=ADVANCED_FACE('',(#1993),#17528,.F.); +#18908=ADVANCED_FACE('',(#1994),#17529,.F.); +#18909=ADVANCED_FACE('',(#1995),#17530,.F.); +#18910=ADVANCED_FACE('',(#1996,#1172),#17531,.F.); +#18911=ADVANCED_FACE('',(#1997,#1173),#17532,.F.); +#18912=ADVANCED_FACE('',(#1998),#17533,.T.); +#18913=ADVANCED_FACE('',(#1999),#17534,.T.); +#18914=ADVANCED_FACE('',(#2000),#17535,.F.); +#18915=ADVANCED_FACE('',(#2001),#17536,.T.); +#18916=ADVANCED_FACE('',(#2002),#17537,.T.); +#18917=ADVANCED_FACE('',(#2003),#17538,.T.); +#18918=ADVANCED_FACE('',(#2004),#17539,.T.); +#18919=ADVANCED_FACE('',(#2005),#17540,.F.); +#18920=ADVANCED_FACE('',(#2006),#17541,.T.); +#18921=ADVANCED_FACE('',(#2007),#17542,.T.); +#18922=ADVANCED_FACE('',(#2008),#17543,.T.); +#18923=ADVANCED_FACE('',(#2009),#17544,.T.); +#18924=ADVANCED_FACE('',(#2010),#17545,.T.); +#18925=ADVANCED_FACE('',(#2011),#926,.T.); +#18926=ADVANCED_FACE('',(#2012),#202,.T.); +#18927=ADVANCED_FACE('',(#2013),#203,.T.); +#18928=ADVANCED_FACE('',(#2014),#927,.T.); +#18929=ADVANCED_FACE('',(#2015),#928,.T.); +#18930=ADVANCED_FACE('',(#2016),#929,.T.); +#18931=ADVANCED_FACE('',(#2017),#930,.T.); +#18932=ADVANCED_FACE('',(#2018),#931,.T.); +#18933=ADVANCED_FACE('',(#2019),#932,.T.); +#18934=ADVANCED_FACE('',(#2020),#204,.T.); +#18935=ADVANCED_FACE('',(#2021),#205,.T.); +#18936=ADVANCED_FACE('',(#2022),#933,.T.); +#18937=ADVANCED_FACE('',(#2023),#934,.T.); +#18938=ADVANCED_FACE('',(#2024),#206,.T.); +#18939=ADVANCED_FACE('',(#2025),#935,.T.); +#18940=ADVANCED_FACE('',(#2026),#936,.T.); +#18941=ADVANCED_FACE('',(#2027),#207,.T.); +#18942=ADVANCED_FACE('',(#2028),#937,.T.); +#18943=ADVANCED_FACE('',(#2029),#938,.T.); +#18944=ADVANCED_FACE('',(#2030),#939,.T.); +#18945=ADVANCED_FACE('',(#2031),#940,.T.); +#18946=ADVANCED_FACE('',(#2032),#208,.T.); +#18947=ADVANCED_FACE('',(#2033),#209,.T.); +#18948=ADVANCED_FACE('',(#2034),#941,.T.); +#18949=ADVANCED_FACE('',(#2035),#17546,.F.); +#18950=ADVANCED_FACE('',(#2036),#17547,.F.); +#18951=ADVANCED_FACE('',(#2037),#17548,.F.); +#18952=ADVANCED_FACE('',(#2038),#17549,.F.); +#18953=ADVANCED_FACE('',(#2039),#17550,.F.); +#18954=ADVANCED_FACE('',(#2040),#17551,.F.); +#18955=ADVANCED_FACE('',(#2041),#17552,.F.); +#18956=ADVANCED_FACE('',(#2042),#17553,.T.); +#18957=ADVANCED_FACE('',(#2043),#17554,.F.); +#18958=ADVANCED_FACE('',(#2044),#942,.F.); +#18959=ADVANCED_FACE('',(#2045),#943,.T.); +#18960=ADVANCED_FACE('',(#2046),#944,.F.); +#18961=ADVANCED_FACE('',(#2047),#945,.T.); +#18962=ADVANCED_FACE('',(#2048),#17555,.F.); +#18963=ADVANCED_FACE('',(#2049),#17556,.F.); +#18964=ADVANCED_FACE('',(#2050),#17557,.F.); +#18965=ADVANCED_FACE('',(#2051),#17558,.F.); +#18966=ADVANCED_FACE('',(#2052),#17559,.F.); +#18967=ADVANCED_FACE('',(#2053),#17560,.F.); +#18968=ADVANCED_FACE('',(#2054),#17561,.F.); +#18969=ADVANCED_FACE('',(#2055),#17562,.T.); +#18970=ADVANCED_FACE('',(#2056),#17563,.F.); +#18971=ADVANCED_FACE('',(#2057),#17564,.F.); +#18972=ADVANCED_FACE('',(#2058),#17565,.F.); +#18973=ADVANCED_FACE('',(#2059),#17566,.F.); +#18974=ADVANCED_FACE('',(#2060),#17567,.F.); +#18975=ADVANCED_FACE('',(#2061),#17568,.F.); +#18976=ADVANCED_FACE('',(#2062),#17569,.F.); +#18977=ADVANCED_FACE('',(#2063),#17570,.F.); +#18978=ADVANCED_FACE('',(#2064),#17571,.T.); +#18979=ADVANCED_FACE('',(#2065),#17572,.F.); +#18980=ADVANCED_FACE('',(#2066),#946,.F.); +#18981=ADVANCED_FACE('',(#2067),#947,.T.); +#18982=ADVANCED_FACE('',(#2068),#948,.F.); +#18983=ADVANCED_FACE('',(#2069),#949,.T.); +#18984=ADVANCED_FACE('',(#2070),#950,.F.); +#18985=ADVANCED_FACE('',(#2071),#951,.T.); +#18986=ADVANCED_FACE('',(#2072),#952,.F.); +#18987=ADVANCED_FACE('',(#2073),#953,.T.); +#18988=ADVANCED_FACE('',(#2074),#17573,.T.); +#18989=ADVANCED_FACE('',(#2075),#17574,.T.); +#18990=ADVANCED_FACE('',(#2076),#17575,.T.); +#18991=ADVANCED_FACE('',(#2077),#17576,.T.); +#18992=ADVANCED_FACE('',(#2078),#17577,.T.); +#18993=ADVANCED_FACE('',(#2079),#17578,.T.); +#18994=ADVANCED_FACE('',(#2080),#17579,.T.); +#18995=ADVANCED_FACE('',(#2081),#17580,.T.); +#18996=ADVANCED_FACE('',(#2082),#17581,.F.); +#18997=ADVANCED_FACE('',(#2083),#954,.F.); +#18998=ADVANCED_FACE('',(#2084),#955,.T.); +#18999=ADVANCED_FACE('',(#2085),#956,.F.); +#19000=ADVANCED_FACE('',(#2086),#957,.T.); +#19001=ADVANCED_FACE('',(#2087),#17582,.T.); +#19002=ADVANCED_FACE('',(#2088),#17583,.T.); +#19003=ADVANCED_FACE('',(#2089),#17584,.T.); +#19004=ADVANCED_FACE('',(#2090),#17585,.T.); +#19005=ADVANCED_FACE('',(#2091),#17586,.T.); +#19006=ADVANCED_FACE('',(#2092),#17587,.T.); +#19007=ADVANCED_FACE('',(#2093),#17588,.T.); +#19008=ADVANCED_FACE('',(#2094),#17589,.T.); +#19009=ADVANCED_FACE('',(#2095),#17590,.F.); +#19010=ADVANCED_FACE('',(#2096),#17591,.T.); +#19011=ADVANCED_FACE('',(#2097),#17592,.T.); +#19012=ADVANCED_FACE('',(#2098),#17593,.T.); +#19013=ADVANCED_FACE('',(#2099),#17594,.T.); +#19014=ADVANCED_FACE('',(#2100),#17595,.T.); +#19015=ADVANCED_FACE('',(#2101),#17596,.T.); +#19016=ADVANCED_FACE('',(#2102),#17597,.T.); +#19017=ADVANCED_FACE('',(#2103),#17598,.T.); +#19018=ADVANCED_FACE('',(#2104),#17599,.F.); +#19019=ADVANCED_FACE('',(#2105),#958,.F.); +#19020=ADVANCED_FACE('',(#2106),#959,.T.); +#19021=ADVANCED_FACE('',(#2107),#960,.F.); +#19022=ADVANCED_FACE('',(#2108),#961,.T.); +#19023=ADVANCED_FACE('',(#2109),#962,.F.); +#19024=ADVANCED_FACE('',(#2110),#963,.T.); +#19025=ADVANCED_FACE('',(#2111),#964,.F.); +#19026=ADVANCED_FACE('',(#2112),#965,.T.); +#19027=ADVANCED_FACE('',(#2113,#1174),#17600,.F.); +#19028=ADVANCED_FACE('',(#2114),#966,.F.); +#19029=ADVANCED_FACE('',(#2115),#17601,.F.); +#19030=ADVANCED_FACE('',(#2116),#17602,.F.); +#19031=ADVANCED_FACE('',(#2117),#967,.F.); +#19032=ADVANCED_FACE('',(#2118),#17603,.F.); +#19033=ADVANCED_FACE('',(#2119),#17604,.F.); +#19034=ADVANCED_FACE('',(#2120),#968,.F.); +#19035=ADVANCED_FACE('',(#2121,#1175),#17605,.F.); +#19036=ADVANCED_FACE('',(#2122,#1176),#17606,.F.); +#19037=ADVANCED_FACE('',(#2123),#969,.F.); +#19038=ADVANCED_FACE('',(#2124),#970,.F.); +#19039=ADVANCED_FACE('',(#2125,#1177),#17607,.F.); +#19040=ADVANCED_FACE('',(#2126),#971,.F.); +#19041=ADVANCED_FACE('',(#2127,#1178),#17608,.F.); +#19042=ADVANCED_FACE('',(#2128),#972,.F.); +#19043=ADVANCED_FACE('',(#2129,#1179),#17609,.F.); +#19044=ADVANCED_FACE('',(#2130),#973,.F.); +#19045=ADVANCED_FACE('',(#2131,#1180),#17610,.F.); +#19046=ADVANCED_FACE('',(#2132,#1181),#17611,.F.); +#19047=ADVANCED_FACE('',(#2133),#974,.F.); +#19048=ADVANCED_FACE('',(#2134),#975,.F.); +#19049=ADVANCED_FACE('',(#2135,#1182),#17612,.F.); +#19050=ADVANCED_FACE('',(#2136,#1183),#17613,.F.); +#19051=ADVANCED_FACE('',(#2137),#976,.F.); +#19052=ADVANCED_FACE('',(#2138),#977,.F.); +#19053=ADVANCED_FACE('',(#2139,#1184),#17614,.F.); +#19054=ADVANCED_FACE('',(#2140,#1185),#17615,.F.); +#19055=ADVANCED_FACE('',(#2141),#978,.F.); +#19056=ADVANCED_FACE('',(#2142),#979,.F.); +#19057=ADVANCED_FACE('',(#2143,#1186),#17616,.F.); +#19058=ADVANCED_FACE('',(#2144,#1187),#17617,.F.); +#19059=ADVANCED_FACE('',(#2145),#980,.F.); +#19060=ADVANCED_FACE('',(#2146,#1188),#17618,.F.); +#19061=ADVANCED_FACE('',(#2147),#981,.F.); +#19062=ADVANCED_FACE('',(#2148),#982,.F.); +#19063=ADVANCED_FACE('',(#2149,#1189),#17619,.F.); +#19064=ADVANCED_FACE('',(#2150),#17620,.F.); +#19065=ADVANCED_FACE('',(#2151),#17621,.F.); +#19066=ADVANCED_FACE('',(#2152),#17622,.F.); +#19067=ADVANCED_FACE('',(#2153),#983,.F.); +#19068=ADVANCED_FACE('',(#2154),#17623,.F.); +#19069=ADVANCED_FACE('',(#2155),#17624,.F.); +#19070=ADVANCED_FACE('',(#2156),#17625,.F.); +#19071=ADVANCED_FACE('',(#2157),#17626,.F.); +#19072=ADVANCED_FACE('',(#2158),#17627,.F.); +#19073=ADVANCED_FACE('',(#2159),#17628,.F.); +#19074=ADVANCED_FACE('',(#2160),#17629,.F.); +#19075=ADVANCED_FACE('',(#2161),#984,.F.); +#19076=ADVANCED_FACE('',(#2162),#17630,.F.); +#19077=ADVANCED_FACE('',(#2163),#17631,.F.); +#19078=ADVANCED_FACE('',(#2164),#17632,.F.); +#19079=ADVANCED_FACE('',(#2165),#17633,.F.); +#19080=ADVANCED_FACE('',(#2166),#985,.F.); +#19081=ADVANCED_FACE('',(#2167),#17634,.F.); +#19082=ADVANCED_FACE('',(#2168),#17635,.F.); +#19083=ADVANCED_FACE('',(#2169),#17636,.F.); +#19084=ADVANCED_FACE('',(#2170),#17637,.F.); +#19085=ADVANCED_FACE('',(#2171),#986,.F.); +#19086=ADVANCED_FACE('',(#2172),#17638,.F.); +#19087=ADVANCED_FACE('',(#2173),#17639,.F.); +#19088=ADVANCED_FACE('',(#2174),#17640,.F.); +#19089=ADVANCED_FACE('',(#2175),#17641,.F.); +#19090=ADVANCED_FACE('',(#2176),#987,.F.); +#19091=ADVANCED_FACE('',(#2177),#17642,.F.); +#19092=ADVANCED_FACE('',(#2178),#17643,.F.); +#19093=ADVANCED_FACE('',(#2179),#17644,.F.); +#19094=ADVANCED_FACE('',(#2180),#988,.F.); +#19095=ADVANCED_FACE('',(#2181),#17645,.F.); +#19096=ADVANCED_FACE('',(#2182),#17646,.F.); +#19097=ADVANCED_FACE('',(#2183),#17647,.F.); +#19098=ADVANCED_FACE('',(#2184),#989,.F.); +#19099=ADVANCED_FACE('',(#2185),#990,.F.); +#19100=ADVANCED_FACE('',(#2186),#991,.F.); +#19101=ADVANCED_FACE('',(#2187),#992,.F.); +#19102=ADVANCED_FACE('',(#2188),#993,.F.); +#19103=ADVANCED_FACE('',(#2189),#994,.F.); +#19104=ADVANCED_FACE('',(#2190),#995,.F.); +#19105=ADVANCED_FACE('',(#2191),#996,.F.); +#19106=ADVANCED_FACE('',(#2192),#997,.F.); +#19107=ADVANCED_FACE('',(#2193),#998,.F.); +#19108=ADVANCED_FACE('',(#2194),#999,.F.); +#19109=ADVANCED_FACE('',(#2195),#1000,.F.); +#19110=ADVANCED_FACE('',(#2196),#1001,.F.); +#19111=ADVANCED_FACE('',(#2197),#1002,.F.); +#19112=ADVANCED_FACE('',(#2198),#1003,.F.); +#19113=ADVANCED_FACE('',(#2199),#1004,.F.); +#19114=ADVANCED_FACE('',(#2200),#1005,.F.); +#19115=ADVANCED_FACE('',(#2201),#1006,.F.); +#19116=ADVANCED_FACE('',(#2202),#1007,.F.); +#19117=ADVANCED_FACE('',(#2203),#1008,.F.); +#19118=ADVANCED_FACE('',(#2204),#1009,.F.); +#19119=ADVANCED_FACE('',(#2205),#1010,.F.); +#19120=ADVANCED_FACE('',(#2206),#1011,.F.); +#19121=ADVANCED_FACE('',(#2207),#1012,.F.); +#19122=ADVANCED_FACE('',(#2208),#1013,.F.); +#19123=ADVANCED_FACE('',(#2209),#17648,.F.); +#19124=ADVANCED_FACE('',(#2210),#17649,.F.); +#19125=ADVANCED_FACE('',(#2211),#17650,.F.); +#19126=ADVANCED_FACE('',(#2212),#17651,.F.); +#19127=ADVANCED_FACE('',(#2213),#17652,.F.); +#19128=ADVANCED_FACE('',(#2214),#17653,.F.); +#19129=ADVANCED_FACE('',(#2215),#17654,.F.); +#19130=ADVANCED_FACE('',(#2216),#17655,.F.); +#19131=ADVANCED_FACE('',(#2217),#17656,.F.); +#19132=ADVANCED_FACE('',(#2218),#17657,.F.); +#19133=ADVANCED_FACE('',(#2219),#17658,.F.); +#19134=ADVANCED_FACE('',(#2220),#17659,.F.); +#19135=ADVANCED_FACE('',(#2221),#17660,.F.); +#19136=ADVANCED_FACE('',(#2222),#17661,.F.); +#19137=ADVANCED_FACE('',(#2223),#17662,.F.); +#19138=ADVANCED_FACE('',(#2224),#17663,.F.); +#19139=ADVANCED_FACE('',(#2225),#17664,.F.); +#19140=ADVANCED_FACE('',(#2226),#17665,.F.); +#19141=ADVANCED_FACE('',(#2227),#17666,.T.); +#19142=ADVANCED_FACE('',(#2228),#17667,.T.); +#19143=ADVANCED_FACE('',(#2229),#17668,.T.); +#19144=ADVANCED_FACE('',(#2230),#17669,.T.); +#19145=ADVANCED_FACE('',(#2231),#17670,.T.); +#19146=ADVANCED_FACE('',(#2232),#17671,.T.); +#19147=ADVANCED_FACE('',(#2233),#17672,.T.); +#19148=ADVANCED_FACE('',(#2234),#17673,.T.); +#19149=ADVANCED_FACE('',(#2235),#17674,.T.); +#19150=ADVANCED_FACE('',(#2236),#17675,.T.); +#19151=ADVANCED_FACE('',(#2237),#17676,.T.); +#19152=ADVANCED_FACE('',(#2238),#17677,.T.); +#19153=ADVANCED_FACE('',(#2239),#17678,.T.); +#19154=ADVANCED_FACE('',(#2240),#17679,.T.); +#19155=ADVANCED_FACE('',(#2241),#17680,.T.); +#19156=ADVANCED_FACE('',(#2242),#17681,.T.); +#19157=ADVANCED_FACE('',(#2243),#17682,.F.); +#19158=ADVANCED_FACE('',(#2244),#1014,.F.); +#19159=ADVANCED_FACE('',(#2245,#1190),#17683,.T.); +#19160=ADVANCED_FACE('',(#2246),#17684,.F.); +#19161=ADVANCED_FACE('',(#2247),#1015,.F.); +#19162=ADVANCED_FACE('',(#2248,#1191),#17685,.T.); +#19163=ADVANCED_FACE('',(#2249),#17686,.F.); +#19164=ADVANCED_FACE('',(#2250),#1016,.F.); +#19165=ADVANCED_FACE('',(#2251,#1192),#17687,.T.); +#19166=ADVANCED_FACE('',(#2252),#17688,.F.); +#19167=ADVANCED_FACE('',(#2253),#1017,.F.); +#19168=ADVANCED_FACE('',(#2254,#1193),#17689,.T.); +#19169=ADVANCED_FACE('',(#2255),#1018,.F.); +#19170=ADVANCED_FACE('',(#2256),#17690,.F.); +#19171=ADVANCED_FACE('',(#2257,#1194),#17691,.T.); +#19172=ADVANCED_FACE('',(#2258),#17692,.F.); +#19173=ADVANCED_FACE('',(#2259),#1019,.F.); +#19174=ADVANCED_FACE('',(#2260,#1195),#17693,.T.); +#19175=ADVANCED_FACE('',(#2261),#17694,.F.); +#19176=ADVANCED_FACE('',(#2262),#1020,.F.); +#19177=ADVANCED_FACE('',(#2263,#1196),#17695,.T.); +#19178=ADVANCED_FACE('',(#2264),#17696,.F.); +#19179=ADVANCED_FACE('',(#2265),#1021,.F.); +#19180=ADVANCED_FACE('',(#2266,#1197),#17697,.T.); +#19181=ADVANCED_FACE('',(#2267),#17698,.F.); +#19182=ADVANCED_FACE('',(#2268),#1022,.F.); +#19183=ADVANCED_FACE('',(#2269,#1198),#17699,.T.); +#19184=ADVANCED_FACE('',(#2270),#1023,.F.); +#19185=ADVANCED_FACE('',(#2271,#1199),#17700,.T.); +#19186=ADVANCED_FACE('',(#2272),#17701,.F.); +#19187=ADVANCED_FACE('',(#2273),#1024,.F.); +#19188=ADVANCED_FACE('',(#2274,#1200),#17702,.T.); +#19189=ADVANCED_FACE('',(#2275),#17703,.F.); +#19190=ADVANCED_FACE('',(#2276),#1025,.F.); +#19191=ADVANCED_FACE('',(#2277,#1201),#17704,.T.); +#19192=ADVANCED_FACE('',(#2278),#17705,.F.); +#19193=ADVANCED_FACE('',(#2279),#1026,.F.); +#19194=ADVANCED_FACE('',(#2280,#1202),#17706,.T.); +#19195=ADVANCED_FACE('',(#2281),#1027,.F.); +#19196=ADVANCED_FACE('',(#2282),#17707,.F.); +#19197=ADVANCED_FACE('',(#2283,#1203),#17708,.T.); +#19198=ADVANCED_FACE('',(#2284),#17709,.F.); +#19199=ADVANCED_FACE('',(#2285),#1028,.F.); +#19200=ADVANCED_FACE('',(#2286,#1204),#17710,.T.); +#19201=ADVANCED_FACE('',(#2287),#17711,.F.); +#19202=ADVANCED_FACE('',(#2288),#1029,.F.); +#19203=ADVANCED_FACE('',(#2289,#1205),#17712,.T.); +#19204=ADVANCED_FACE('',(#2290),#17713,.T.); +#19205=ADVANCED_FACE('',(#2291),#17714,.T.); +#19206=ADVANCED_FACE('',(#2292),#17715,.T.); +#19207=ADVANCED_FACE('',(#2293),#17716,.T.); +#19208=ADVANCED_FACE('',(#2294),#17717,.T.); +#19209=CLOSED_SHELL('',(#18120,#18121,#18122,#18123,#18124,#18125,#18126, +#18127,#18128,#18129,#18130,#18131,#18132,#18133,#18134,#18135,#18136,#18137, +#18138,#18139,#18140,#18141,#18142,#18143,#18144,#18145,#18146,#18147,#18148, +#18149,#18150,#18151,#18152,#18153,#18154,#18155,#18156,#18157,#18158,#18159, +#18160,#18161,#18162,#18163,#18164,#18165,#18166,#18167,#18168,#18169,#18170, +#18171,#18172,#18173,#18174,#18175,#18176,#18177,#18178,#18179,#18180,#18181, +#18182,#18183,#18184,#18185,#18186,#18187,#18188,#18189,#18190,#18191,#18192, +#18193,#18194,#18195,#18196,#18197,#18198,#18199,#18200,#18201,#18202,#18203, +#18204,#18205,#18206,#18207,#18208,#18209,#18210,#18211,#18212,#18213,#18214, +#18215,#18216,#18217,#18218,#18219,#18220,#18221,#18222,#18223,#18224,#18225, +#18226,#18227,#18228,#18229,#18230,#18231,#18232,#18233,#18234,#18235,#18236, +#18237,#18238,#18239,#18240,#18241,#18242,#18243,#18244,#18245,#18246,#18247, +#18248,#18249,#18250,#18251,#18252,#18253,#18254,#18255,#18256,#18257,#18258, +#18259,#18260,#18261,#18262,#18263,#18264,#18265,#18266,#18267,#18268)); +#19210=CLOSED_SHELL('',(#18269,#18270,#18271,#18272,#18273,#18274)); +#19211=CLOSED_SHELL('',(#18275,#18276,#18277,#18278,#18279,#18280)); +#19212=CLOSED_SHELL('',(#18281,#18282,#18283,#18284,#18285,#18286)); +#19213=CLOSED_SHELL('',(#18287,#18288,#18289,#18290,#18291,#18292)); +#19214=CLOSED_SHELL('',(#18293,#18294,#18295,#18296,#18297,#18298)); +#19215=CLOSED_SHELL('',(#18299,#18300,#18301,#18302,#18303,#18304)); +#19216=CLOSED_SHELL('',(#18305,#18306,#18307,#18308,#18309,#18310)); +#19217=CLOSED_SHELL('',(#18311,#18312,#18313,#18314,#18315,#18316)); +#19218=CLOSED_SHELL('',(#18317,#18318,#18319,#18320,#18321,#18322)); +#19219=CLOSED_SHELL('',(#18323,#18324,#18325,#18326,#18327,#18328)); +#19220=CLOSED_SHELL('',(#18329,#18330,#18331,#18332,#18333,#18334)); +#19221=CLOSED_SHELL('',(#18335,#18336,#18337,#18338,#18339,#18340)); +#19222=CLOSED_SHELL('',(#18341,#18342,#18343,#18344,#18345,#18346)); +#19223=CLOSED_SHELL('',(#18347,#18348,#18349,#18350,#18351,#18352)); +#19224=CLOSED_SHELL('',(#18353,#18354,#18355,#18356,#18357,#18358)); +#19225=CLOSED_SHELL('',(#18359,#18360,#18361,#18362,#18363,#18364)); +#19226=CLOSED_SHELL('',(#18365,#18366,#18367,#18368,#18369,#18370)); +#19227=CLOSED_SHELL('',(#18371,#18372,#18373,#18374,#18375,#18376)); +#19228=CLOSED_SHELL('',(#18377,#18378,#18379,#18380,#18381,#18382)); +#19229=CLOSED_SHELL('',(#18383,#18384,#18385,#18386,#18387,#18388)); +#19230=CLOSED_SHELL('',(#18389,#18390,#18391,#18392,#18393,#18394)); +#19231=CLOSED_SHELL('',(#18395,#18396,#18397,#18398,#18399,#18400)); +#19232=CLOSED_SHELL('',(#18401,#18402,#18403,#18404,#18405,#18406)); +#19233=CLOSED_SHELL('',(#18407,#18408,#18409,#18410,#18411,#18412)); +#19234=CLOSED_SHELL('',(#18413,#18414,#18415,#18416,#18417,#18418)); +#19235=CLOSED_SHELL('',(#18419,#18420,#18421,#18422,#18423,#18424)); +#19236=CLOSED_SHELL('',(#18425,#18426,#18427,#18428,#18429,#18430)); +#19237=CLOSED_SHELL('',(#18431,#18432,#18433,#18434,#18435,#18436)); +#19238=CLOSED_SHELL('',(#18437,#18438,#18439,#18440,#18441,#18442)); +#19239=CLOSED_SHELL('',(#18443,#18444,#18445,#18446,#18447,#18448)); +#19240=CLOSED_SHELL('',(#18449,#18450,#18451,#18452,#18453,#18454)); +#19241=CLOSED_SHELL('',(#18455,#18456,#18457,#18458,#18459,#18460)); +#19242=CLOSED_SHELL('',(#18461,#18462,#18463,#18464,#18465,#18466)); +#19243=CLOSED_SHELL('',(#18467,#18468,#18469,#18470,#18471,#18472)); +#19244=CLOSED_SHELL('',(#18473,#18474,#18475,#18476,#18477,#18478)); +#19245=CLOSED_SHELL('',(#18479,#18480,#18481,#18482,#18483,#18484)); +#19246=CLOSED_SHELL('',(#18485,#18486,#18487,#18488,#18489,#18490)); +#19247=CLOSED_SHELL('',(#18491,#18492,#18493,#18494,#18495,#18496)); +#19248=CLOSED_SHELL('',(#18497,#18498,#18499,#18500,#18501,#18502)); +#19249=CLOSED_SHELL('',(#18503,#18504,#18505,#18506,#18507,#18508)); +#19250=CLOSED_SHELL('',(#18509,#18510,#18511,#18512,#18513,#18514)); +#19251=CLOSED_SHELL('',(#18515,#18516,#18517,#18518,#18519,#18520)); +#19252=CLOSED_SHELL('',(#18521,#18522,#18523,#18524,#18525,#18526)); +#19253=CLOSED_SHELL('',(#18527,#18528,#18529,#18530,#18531,#18532)); +#19254=CLOSED_SHELL('',(#18533,#18534,#18535,#18536,#18537,#18538)); +#19255=CLOSED_SHELL('',(#18539,#18540,#18541,#18542,#18543,#18544)); +#19256=CLOSED_SHELL('',(#18545,#18546,#18547,#18548,#18549,#18550)); +#19257=CLOSED_SHELL('',(#18551,#18552,#18553,#18554,#18555,#18556)); +#19258=CLOSED_SHELL('',(#18557,#18558,#18559,#18560,#18561,#18562)); +#19259=CLOSED_SHELL('',(#18563,#18564,#18565,#18566,#18567,#18568)); +#19260=CLOSED_SHELL('',(#18569,#18570,#18571,#18572,#18573,#18574)); +#19261=CLOSED_SHELL('',(#18575,#18576,#18577,#18578,#18579,#18580)); +#19262=CLOSED_SHELL('',(#18581,#18582,#18583,#18584,#18585,#18586)); +#19263=CLOSED_SHELL('',(#18587,#18588,#18589,#18590,#18591,#18592)); +#19264=CLOSED_SHELL('',(#18593,#18594,#18595,#18596,#18597,#18598)); +#19265=CLOSED_SHELL('',(#18599,#18600,#18601,#18602,#18603,#18604)); +#19266=CLOSED_SHELL('',(#18605,#18606,#18607,#18608,#18609,#18610,#18611, +#18612,#18613,#18614,#18615,#18616,#18617,#18618,#18619,#18620)); +#19267=CLOSED_SHELL('',(#18621,#18622,#18623,#18624,#18625,#18626,#18627, +#18628,#18629,#18630,#18631,#18632,#18633,#18634,#18635,#18636,#18637,#18638, +#18639,#18640,#18641,#18642,#18643,#18644,#18645,#18646,#18647,#18648,#18649, +#18650,#18651,#18652,#18653,#18654,#18655)); +#19268=CLOSED_SHELL('',(#18656,#18657,#18658,#18659,#18660,#18661,#18662, +#18663,#18664,#18665,#18666,#18667,#18668,#18669,#18670,#18671,#18672,#18673, +#18674,#18675,#18676,#18677,#18678,#18679,#18680,#18681,#18682,#18683,#18684, +#18685,#18686,#18687,#18688,#18689,#18690,#18691,#18692,#18693,#18694,#18695, +#18696,#18697)); +#19269=CLOSED_SHELL('',(#18698,#18699,#18700,#18701,#18702,#18703,#18704, +#18705,#18706,#18707,#18708,#18709,#18710,#18711,#18712,#18713,#18714,#18715, +#18716,#18717,#18718,#18719,#18720,#18721,#18722,#18723,#18724,#18725,#18726, +#18727,#18728,#18729,#18730,#18731,#18732,#18733,#18734,#18735,#18736,#18737, +#18738,#18739)); +#19270=CLOSED_SHELL('',(#18740,#18741,#18742,#18743,#18744,#18745,#18746, +#18747,#18748,#18749,#18750,#18751,#18752,#18753,#18754,#18755,#18756,#18757, +#18758,#18759,#18760,#18761,#18762,#18763,#18764,#18765,#18766,#18767,#18768, +#18769,#18770,#18771,#18772,#18773,#18774,#18775,#18776,#18777,#18778,#18779, +#18780,#18781)); +#19271=CLOSED_SHELL('',(#18782,#18783,#18784,#18785,#18786,#18787,#18788, +#18789,#18790,#18791,#18792,#18793,#18794,#18795,#18796,#18797,#18798,#18799, +#18800,#18801,#18802,#18803,#18804,#18805,#18806,#18807,#18808,#18809,#18810, +#18811,#18812,#18813,#18814,#18815,#18816,#18817,#18818,#18819,#18820,#18821, +#18822,#18823)); +#19272=CLOSED_SHELL('',(#18824,#18825,#18826,#18827,#18828,#18829,#18830, +#18831,#18832,#18833,#18834,#18835,#18836,#18837,#18838,#18839,#18840,#18841, +#18842,#18843,#18844,#18845,#18846,#18847,#18848,#18849,#18850,#18851,#18852, +#18853,#18854,#18855,#18856,#18857,#18858,#18859,#18860,#18861,#18862,#18863, +#18864,#18865,#18866,#18867,#18868,#18869,#18870,#18871,#18872,#18873,#18874, +#18875,#18876,#18877,#18878,#18879,#18880,#18881,#18882,#18883,#18884,#18885, +#18886,#18887,#18888,#18889,#18890,#18891,#18892,#18893)); +#19273=CLOSED_SHELL('',(#18894,#18895,#18896,#18897,#18898,#18899,#18900, +#18901,#18902,#18903,#18904,#18905,#18906,#18907,#18908,#18909,#18910,#18911, +#18912,#18913,#18914)); +#19274=CLOSED_SHELL('',(#18915,#18916,#18917,#18918,#18919,#18920,#18921, +#18922,#18923,#18924,#18925,#18926,#18927,#18928,#18929,#18930,#18931,#18932, +#18933,#18934,#18935,#18936,#18937,#18938,#18939,#18940,#18941,#18942,#18943, +#18944,#18945,#18946,#18947,#18948,#18949,#18950,#18951,#18952,#18953,#18954, +#18955,#18956,#18957,#18958,#18959,#18960,#18961,#18962,#18963,#18964,#18965, +#18966,#18967,#18968,#18969,#18970,#18971,#18972,#18973,#18974,#18975,#18976, +#18977,#18978,#18979,#18980,#18981,#18982,#18983,#18984,#18985,#18986,#18987, +#18988,#18989,#18990,#18991,#18992,#18993,#18994,#18995,#18996,#18997,#18998, +#18999,#19000,#19001,#19002,#19003,#19004,#19005,#19006,#19007,#19008,#19009, +#19010,#19011,#19012,#19013,#19014,#19015,#19016,#19017,#19018,#19019,#19020, +#19021,#19022,#19023,#19024,#19025,#19026)); +#19275=CLOSED_SHELL('',(#19027,#19028,#19029,#19030,#19031,#19032,#19033, +#19034,#19035,#19036,#19037,#19038,#19039,#19040,#19041,#19042,#19043,#19044, +#19045,#19046,#19047,#19048,#19049,#19050,#19051,#19052,#19053,#19054,#19055, +#19056,#19057,#19058,#19059,#19060,#19061,#19062,#19063,#19064,#19065,#19066, +#19067,#19068,#19069,#19070,#19071,#19072,#19073,#19074,#19075,#19076,#19077, +#19078,#19079,#19080,#19081,#19082,#19083,#19084,#19085,#19086,#19087,#19088, +#19089,#19090,#19091,#19092,#19093,#19094,#19095,#19096,#19097,#19098,#19099, +#19100,#19101,#19102,#19103,#19104,#19105,#19106,#19107,#19108,#19109,#19110, +#19111,#19112,#19113,#19114,#19115,#19116,#19117,#19118,#19119,#19120,#19121, +#19122,#19123,#19124,#19125,#19126,#19127,#19128,#19129,#19130,#19131,#19132, +#19133,#19134,#19135,#19136,#19137,#19138,#19139,#19140,#19141,#19142,#19143, +#19144,#19145,#19146,#19147,#19148,#19149,#19150,#19151,#19152,#19153,#19154, +#19155,#19156,#19157,#19158,#19159,#19160,#19161,#19162,#19163,#19164,#19165, +#19166,#19167,#19168,#19169,#19170,#19171,#19172,#19173,#19174,#19175,#19176, +#19177,#19178,#19179,#19180,#19181,#19182,#19183,#19184,#19185,#19186,#19187, +#19188,#19189,#19190,#19191,#19192,#19193,#19194,#19195,#19196,#19197,#19198, +#19199,#19200,#19201,#19202,#19203,#19204,#19205,#19206,#19207,#19208)); +#19276=DERIVED_UNIT_ELEMENT(#19294,1.); +#19277=DERIVED_UNIT_ELEMENT(#32269,3.); +#19278=DERIVED_UNIT_ELEMENT(#19294,1.); +#19279=DERIVED_UNIT_ELEMENT(#32269,3.); +#19280=DERIVED_UNIT_ELEMENT(#19294,1.); +#19281=DERIVED_UNIT_ELEMENT(#32269,3.); +#19282=DERIVED_UNIT_ELEMENT(#19294,1.); +#19283=DERIVED_UNIT_ELEMENT(#32269,3.); +#19284=DERIVED_UNIT_ELEMENT(#19294,1.); +#19285=DERIVED_UNIT_ELEMENT(#32269,3.); +#19286=DERIVED_UNIT_ELEMENT(#19294,1.); +#19287=DERIVED_UNIT_ELEMENT(#32269,3.); +#19288=DERIVED_UNIT_ELEMENT(#19294,1.); +#19289=DERIVED_UNIT_ELEMENT(#32269,3.); +#19290=DERIVED_UNIT_ELEMENT(#19294,1.); +#19291=DERIVED_UNIT_ELEMENT(#32269,3.); +#19292=DERIVED_UNIT_ELEMENT(#19294,1.); +#19293=DERIVED_UNIT_ELEMENT(#32269,3.); +#19294=( +MASS_UNIT() +NAMED_UNIT(*) +SI_UNIT(.KILO.,.GRAM.) +); +#19295=DERIVED_UNIT((#19276,#19277)); +#19296=DERIVED_UNIT((#19278,#19279)); +#19297=DERIVED_UNIT((#19280,#19281)); +#19298=DERIVED_UNIT((#19282,#19283)); +#19299=DERIVED_UNIT((#19284,#19285)); +#19300=DERIVED_UNIT((#19286,#19287)); +#19301=DERIVED_UNIT((#19288,#19289)); +#19302=DERIVED_UNIT((#19290,#19291)); +#19303=DERIVED_UNIT((#19292,#19293)); +#19304=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#19295); +#19305=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#19296); +#19306=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#19297); +#19307=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#19298); +#19308=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#19299); +#19309=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#19300); +#19310=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#19301); +#19311=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#19302); +#19312=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#19303); +#19313=PROPERTY_DEFINITION_REPRESENTATION(#19358,#19331); +#19314=PROPERTY_DEFINITION_REPRESENTATION(#19359,#19332); +#19315=PROPERTY_DEFINITION_REPRESENTATION(#19360,#19333); +#19316=PROPERTY_DEFINITION_REPRESENTATION(#19361,#19334); +#19317=PROPERTY_DEFINITION_REPRESENTATION(#19362,#19335); +#19318=PROPERTY_DEFINITION_REPRESENTATION(#19363,#19336); +#19319=PROPERTY_DEFINITION_REPRESENTATION(#19364,#19337); +#19320=PROPERTY_DEFINITION_REPRESENTATION(#19365,#19338); +#19321=PROPERTY_DEFINITION_REPRESENTATION(#19366,#19339); +#19322=PROPERTY_DEFINITION_REPRESENTATION(#19367,#19340); +#19323=PROPERTY_DEFINITION_REPRESENTATION(#19368,#19341); +#19324=PROPERTY_DEFINITION_REPRESENTATION(#19369,#19342); +#19325=PROPERTY_DEFINITION_REPRESENTATION(#19370,#19343); +#19326=PROPERTY_DEFINITION_REPRESENTATION(#19371,#19344); +#19327=PROPERTY_DEFINITION_REPRESENTATION(#19372,#19345); +#19328=PROPERTY_DEFINITION_REPRESENTATION(#19373,#19346); +#19329=PROPERTY_DEFINITION_REPRESENTATION(#19374,#19347); +#19330=PROPERTY_DEFINITION_REPRESENTATION(#19375,#19348); +#19331=REPRESENTATION('material name',(#19349),#32258); +#19332=REPRESENTATION('density',(#19304),#32258); +#19333=REPRESENTATION('material name',(#19350),#32259); +#19334=REPRESENTATION('density',(#19305),#32259); +#19335=REPRESENTATION('material name',(#19351),#32260); +#19336=REPRESENTATION('density',(#19306),#32260); +#19337=REPRESENTATION('material name',(#19352),#32261); +#19338=REPRESENTATION('density',(#19307),#32261); +#19339=REPRESENTATION('material name',(#19353),#32262); +#19340=REPRESENTATION('density',(#19308),#32262); +#19341=REPRESENTATION('material name',(#19354),#32263); +#19342=REPRESENTATION('density',(#19309),#32263); +#19343=REPRESENTATION('material name',(#19355),#32264); +#19344=REPRESENTATION('density',(#19310),#32264); +#19345=REPRESENTATION('material name',(#19356),#32265); +#19346=REPRESENTATION('density',(#19311),#32265); +#19347=REPRESENTATION('material name',(#19357),#32266); +#19348=REPRESENTATION('density',(#19312),#32266); +#19349=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#19350=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#19351=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#19352=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#19353=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#19354=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#19355=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#19356=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#19357=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#19358=PROPERTY_DEFINITION('material property','material name',#32338); +#19359=PROPERTY_DEFINITION('material property','density of part',#32338); +#19360=PROPERTY_DEFINITION('material property','material name',#32339); +#19361=PROPERTY_DEFINITION('material property','density of part',#32339); +#19362=PROPERTY_DEFINITION('material property','material name',#32340); +#19363=PROPERTY_DEFINITION('material property','density of part',#32340); +#19364=PROPERTY_DEFINITION('material property','material name',#32341); +#19365=PROPERTY_DEFINITION('material property','density of part',#32341); +#19366=PROPERTY_DEFINITION('material property','material name',#32342); +#19367=PROPERTY_DEFINITION('material property','density of part',#32342); +#19368=PROPERTY_DEFINITION('material property','material name',#32343); +#19369=PROPERTY_DEFINITION('material property','density of part',#32343); +#19370=PROPERTY_DEFINITION('material property','material name',#32344); +#19371=PROPERTY_DEFINITION('material property','density of part',#32344); +#19372=PROPERTY_DEFINITION('material property','material name',#32345); +#19373=PROPERTY_DEFINITION('material property','density of part',#32345); +#19374=PROPERTY_DEFINITION('material property','material name',#32346); +#19375=PROPERTY_DEFINITION('material property','density of part',#32346); +#19376=AXIS2_PLACEMENT_3D('placement',#26494,#21078,#21079); +#19377=AXIS2_PLACEMENT_3D('placement',#26495,#21080,#21081); +#19378=AXIS2_PLACEMENT_3D('',#26496,#21082,#21083); +#19379=AXIS2_PLACEMENT_3D('',#26561,#21116,#21117); +#19380=AXIS2_PLACEMENT_3D('',#26623,#21149,#21150); +#19381=AXIS2_PLACEMENT_3D('',#26685,#21182,#21183); +#19382=AXIS2_PLACEMENT_3D('',#26744,#21214,#21215); +#19383=AXIS2_PLACEMENT_3D('',#26745,#21216,#21217); +#19384=AXIS2_PLACEMENT_3D('',#26770,#21218,#21219); +#19385=AXIS2_PLACEMENT_3D('',#26820,#21220,#21221); +#19386=AXIS2_PLACEMENT_3D('',#26821,#21222,#21223); +#19387=AXIS2_PLACEMENT_3D('',#26822,#21224,#21225); +#19388=AXIS2_PLACEMENT_3D('',#26828,#21229,#21230); +#19389=AXIS2_PLACEMENT_3D('',#26832,#21233,#21234); +#19390=AXIS2_PLACEMENT_3D('',#26836,#21237,#21238); +#19391=AXIS2_PLACEMENT_3D('',#26838,#21240,#21241); +#19392=AXIS2_PLACEMENT_3D('',#26839,#21242,#21243); +#19393=AXIS2_PLACEMENT_3D('',#26845,#21247,#21248); +#19394=AXIS2_PLACEMENT_3D('',#26849,#21251,#21252); +#19395=AXIS2_PLACEMENT_3D('',#26853,#21255,#21256); +#19396=AXIS2_PLACEMENT_3D('',#26855,#21258,#21259); +#19397=AXIS2_PLACEMENT_3D('',#26856,#21260,#21261); +#19398=AXIS2_PLACEMENT_3D('',#26862,#21265,#21266); +#19399=AXIS2_PLACEMENT_3D('',#26866,#21269,#21270); +#19400=AXIS2_PLACEMENT_3D('',#26870,#21273,#21274); +#19401=AXIS2_PLACEMENT_3D('',#26872,#21276,#21277); +#19402=AXIS2_PLACEMENT_3D('',#26873,#21278,#21279); +#19403=AXIS2_PLACEMENT_3D('',#26879,#21283,#21284); +#19404=AXIS2_PLACEMENT_3D('',#26883,#21287,#21288); +#19405=AXIS2_PLACEMENT_3D('',#26887,#21291,#21292); +#19406=AXIS2_PLACEMENT_3D('',#26889,#21294,#21295); +#19407=AXIS2_PLACEMENT_3D('',#26890,#21296,#21297); +#19408=AXIS2_PLACEMENT_3D('',#26896,#21301,#21302); +#19409=AXIS2_PLACEMENT_3D('',#26900,#21305,#21306); +#19410=AXIS2_PLACEMENT_3D('',#26904,#21309,#21310); +#19411=AXIS2_PLACEMENT_3D('',#26906,#21312,#21313); +#19412=AXIS2_PLACEMENT_3D('',#26907,#21314,#21315); +#19413=AXIS2_PLACEMENT_3D('',#26913,#21319,#21320); +#19414=AXIS2_PLACEMENT_3D('',#26917,#21323,#21324); +#19415=AXIS2_PLACEMENT_3D('',#26921,#21327,#21328); +#19416=AXIS2_PLACEMENT_3D('',#26923,#21330,#21331); +#19417=AXIS2_PLACEMENT_3D('',#26924,#21332,#21333); +#19418=AXIS2_PLACEMENT_3D('',#26930,#21337,#21338); +#19419=AXIS2_PLACEMENT_3D('',#26934,#21341,#21342); +#19420=AXIS2_PLACEMENT_3D('',#26938,#21345,#21346); +#19421=AXIS2_PLACEMENT_3D('',#26940,#21348,#21349); +#19422=AXIS2_PLACEMENT_3D('',#26941,#21350,#21351); +#19423=AXIS2_PLACEMENT_3D('',#26947,#21355,#21356); +#19424=AXIS2_PLACEMENT_3D('',#26951,#21359,#21360); +#19425=AXIS2_PLACEMENT_3D('',#26955,#21363,#21364); +#19426=AXIS2_PLACEMENT_3D('',#26957,#21366,#21367); +#19427=AXIS2_PLACEMENT_3D('',#26958,#21368,#21369); +#19428=AXIS2_PLACEMENT_3D('',#26964,#21373,#21374); +#19429=AXIS2_PLACEMENT_3D('',#26968,#21377,#21378); +#19430=AXIS2_PLACEMENT_3D('',#26972,#21381,#21382); +#19431=AXIS2_PLACEMENT_3D('',#26974,#21384,#21385); +#19432=AXIS2_PLACEMENT_3D('',#26975,#21386,#21387); +#19433=AXIS2_PLACEMENT_3D('',#26981,#21391,#21392); +#19434=AXIS2_PLACEMENT_3D('',#26985,#21395,#21396); +#19435=AXIS2_PLACEMENT_3D('',#26989,#21399,#21400); +#19436=AXIS2_PLACEMENT_3D('',#26991,#21402,#21403); +#19437=AXIS2_PLACEMENT_3D('',#26992,#21404,#21405); +#19438=AXIS2_PLACEMENT_3D('',#26998,#21409,#21410); +#19439=AXIS2_PLACEMENT_3D('',#27002,#21413,#21414); +#19440=AXIS2_PLACEMENT_3D('',#27006,#21417,#21418); +#19441=AXIS2_PLACEMENT_3D('',#27008,#21420,#21421); +#19442=AXIS2_PLACEMENT_3D('',#27009,#21422,#21423); +#19443=AXIS2_PLACEMENT_3D('',#27015,#21427,#21428); +#19444=AXIS2_PLACEMENT_3D('',#27019,#21431,#21432); +#19445=AXIS2_PLACEMENT_3D('',#27023,#21435,#21436); +#19446=AXIS2_PLACEMENT_3D('',#27025,#21438,#21439); +#19447=AXIS2_PLACEMENT_3D('',#27026,#21440,#21441); +#19448=AXIS2_PLACEMENT_3D('',#27032,#21445,#21446); +#19449=AXIS2_PLACEMENT_3D('',#27036,#21449,#21450); +#19450=AXIS2_PLACEMENT_3D('',#27040,#21453,#21454); +#19451=AXIS2_PLACEMENT_3D('',#27042,#21456,#21457); +#19452=AXIS2_PLACEMENT_3D('',#27043,#21458,#21459); +#19453=AXIS2_PLACEMENT_3D('',#27049,#21463,#21464); +#19454=AXIS2_PLACEMENT_3D('',#27053,#21467,#21468); +#19455=AXIS2_PLACEMENT_3D('',#27057,#21471,#21472); +#19456=AXIS2_PLACEMENT_3D('',#27059,#21474,#21475); +#19457=AXIS2_PLACEMENT_3D('',#27060,#21476,#21477); +#19458=AXIS2_PLACEMENT_3D('',#27066,#21481,#21482); +#19459=AXIS2_PLACEMENT_3D('',#27070,#21485,#21486); +#19460=AXIS2_PLACEMENT_3D('',#27074,#21489,#21490); +#19461=AXIS2_PLACEMENT_3D('',#27076,#21492,#21493); +#19462=AXIS2_PLACEMENT_3D('',#27077,#21494,#21495); +#19463=AXIS2_PLACEMENT_3D('',#27083,#21499,#21500); +#19464=AXIS2_PLACEMENT_3D('',#27087,#21503,#21504); +#19465=AXIS2_PLACEMENT_3D('',#27091,#21507,#21508); +#19466=AXIS2_PLACEMENT_3D('',#27093,#21510,#21511); +#19467=AXIS2_PLACEMENT_3D('',#27094,#21512,#21513); +#19468=AXIS2_PLACEMENT_3D('',#27100,#21517,#21518); +#19469=AXIS2_PLACEMENT_3D('',#27104,#21521,#21522); +#19470=AXIS2_PLACEMENT_3D('',#27108,#21525,#21526); +#19471=AXIS2_PLACEMENT_3D('',#27110,#21528,#21529); +#19472=AXIS2_PLACEMENT_3D('',#27111,#21530,#21531); +#19473=AXIS2_PLACEMENT_3D('',#27117,#21535,#21536); +#19474=AXIS2_PLACEMENT_3D('',#27121,#21539,#21540); +#19475=AXIS2_PLACEMENT_3D('',#27125,#21543,#21544); +#19476=AXIS2_PLACEMENT_3D('',#27127,#21546,#21547); +#19477=AXIS2_PLACEMENT_3D('',#27128,#21548,#21549); +#19478=AXIS2_PLACEMENT_3D('',#27134,#21553,#21554); +#19479=AXIS2_PLACEMENT_3D('',#27138,#21557,#21558); +#19480=AXIS2_PLACEMENT_3D('',#27142,#21561,#21562); +#19481=AXIS2_PLACEMENT_3D('',#27144,#21564,#21565); +#19482=AXIS2_PLACEMENT_3D('',#27145,#21566,#21567); +#19483=AXIS2_PLACEMENT_3D('',#27151,#21571,#21572); +#19484=AXIS2_PLACEMENT_3D('',#27155,#21575,#21576); +#19485=AXIS2_PLACEMENT_3D('',#27159,#21579,#21580); +#19486=AXIS2_PLACEMENT_3D('',#27161,#21582,#21583); +#19487=AXIS2_PLACEMENT_3D('',#27162,#21584,#21585); +#19488=AXIS2_PLACEMENT_3D('',#27168,#21589,#21590); +#19489=AXIS2_PLACEMENT_3D('',#27172,#21593,#21594); +#19490=AXIS2_PLACEMENT_3D('',#27176,#21597,#21598); +#19491=AXIS2_PLACEMENT_3D('',#27178,#21600,#21601); +#19492=AXIS2_PLACEMENT_3D('',#27179,#21602,#21603); +#19493=AXIS2_PLACEMENT_3D('',#27185,#21607,#21608); +#19494=AXIS2_PLACEMENT_3D('',#27189,#21611,#21612); +#19495=AXIS2_PLACEMENT_3D('',#27193,#21615,#21616); +#19496=AXIS2_PLACEMENT_3D('',#27195,#21618,#21619); +#19497=AXIS2_PLACEMENT_3D('',#27196,#21620,#21621); +#19498=AXIS2_PLACEMENT_3D('',#27202,#21625,#21626); +#19499=AXIS2_PLACEMENT_3D('',#27206,#21629,#21630); +#19500=AXIS2_PLACEMENT_3D('',#27210,#21633,#21634); +#19501=AXIS2_PLACEMENT_3D('',#27212,#21636,#21637); +#19502=AXIS2_PLACEMENT_3D('',#27213,#21638,#21639); +#19503=AXIS2_PLACEMENT_3D('',#27219,#21643,#21644); +#19504=AXIS2_PLACEMENT_3D('',#27223,#21647,#21648); +#19505=AXIS2_PLACEMENT_3D('',#27227,#21651,#21652); +#19506=AXIS2_PLACEMENT_3D('',#27229,#21654,#21655); +#19507=AXIS2_PLACEMENT_3D('',#27230,#21656,#21657); +#19508=AXIS2_PLACEMENT_3D('',#27236,#21661,#21662); +#19509=AXIS2_PLACEMENT_3D('',#27240,#21665,#21666); +#19510=AXIS2_PLACEMENT_3D('',#27244,#21669,#21670); +#19511=AXIS2_PLACEMENT_3D('',#27246,#21672,#21673); +#19512=AXIS2_PLACEMENT_3D('',#27247,#21674,#21675); +#19513=AXIS2_PLACEMENT_3D('',#27253,#21679,#21680); +#19514=AXIS2_PLACEMENT_3D('',#27257,#21683,#21684); +#19515=AXIS2_PLACEMENT_3D('',#27261,#21687,#21688); +#19516=AXIS2_PLACEMENT_3D('',#27263,#21690,#21691); +#19517=AXIS2_PLACEMENT_3D('',#27264,#21692,#21693); +#19518=AXIS2_PLACEMENT_3D('',#27270,#21697,#21698); +#19519=AXIS2_PLACEMENT_3D('',#27274,#21701,#21702); +#19520=AXIS2_PLACEMENT_3D('',#27278,#21705,#21706); +#19521=AXIS2_PLACEMENT_3D('',#27280,#21708,#21709); +#19522=AXIS2_PLACEMENT_3D('',#27281,#21710,#21711); +#19523=AXIS2_PLACEMENT_3D('',#27287,#21715,#21716); +#19524=AXIS2_PLACEMENT_3D('',#27291,#21719,#21720); +#19525=AXIS2_PLACEMENT_3D('',#27295,#21723,#21724); +#19526=AXIS2_PLACEMENT_3D('',#27297,#21726,#21727); +#19527=AXIS2_PLACEMENT_3D('',#27331,#21728,#21729); +#19528=AXIS2_PLACEMENT_3D('',#27340,#21734,#21735); +#19529=AXIS2_PLACEMENT_3D('',#27346,#21739,#21740); +#19530=AXIS2_PLACEMENT_3D('',#27352,#21744,#21745); +#19531=AXIS2_PLACEMENT_3D('',#27355,#21748,#21749); +#19532=AXIS2_PLACEMENT_3D('',#27356,#21750,#21751); +#19533=AXIS2_PLACEMENT_3D('',#27357,#21752,#21753); +#19534=AXIS2_PLACEMENT_3D('',#27366,#21758,#21759); +#19535=AXIS2_PLACEMENT_3D('',#27372,#21763,#21764); +#19536=AXIS2_PLACEMENT_3D('',#27378,#21768,#21769); +#19537=AXIS2_PLACEMENT_3D('',#27381,#21772,#21773); +#19538=AXIS2_PLACEMENT_3D('',#27382,#21774,#21775); +#19539=AXIS2_PLACEMENT_3D('',#27383,#21776,#21777); +#19540=AXIS2_PLACEMENT_3D('',#27392,#21782,#21783); +#19541=AXIS2_PLACEMENT_3D('',#27398,#21787,#21788); +#19542=AXIS2_PLACEMENT_3D('',#27404,#21792,#21793); +#19543=AXIS2_PLACEMENT_3D('',#27407,#21796,#21797); +#19544=AXIS2_PLACEMENT_3D('',#27408,#21798,#21799); +#19545=AXIS2_PLACEMENT_3D('',#27409,#21800,#21801); +#19546=AXIS2_PLACEMENT_3D('',#27418,#21806,#21807); +#19547=AXIS2_PLACEMENT_3D('',#27424,#21811,#21812); +#19548=AXIS2_PLACEMENT_3D('',#27430,#21816,#21817); +#19549=AXIS2_PLACEMENT_3D('',#27433,#21820,#21821); +#19550=AXIS2_PLACEMENT_3D('',#27434,#21822,#21823); +#19551=AXIS2_PLACEMENT_3D('',#27435,#21824,#21825); +#19552=AXIS2_PLACEMENT_3D('',#27444,#21830,#21831); +#19553=AXIS2_PLACEMENT_3D('',#27450,#21835,#21836); +#19554=AXIS2_PLACEMENT_3D('',#27456,#21840,#21841); +#19555=AXIS2_PLACEMENT_3D('',#27459,#21844,#21845); +#19556=AXIS2_PLACEMENT_3D('',#27460,#21846,#21847); +#19557=AXIS2_PLACEMENT_3D('',#27461,#21848,#21849); +#19558=AXIS2_PLACEMENT_3D('',#27470,#21854,#21855); +#19559=AXIS2_PLACEMENT_3D('',#27476,#21859,#21860); +#19560=AXIS2_PLACEMENT_3D('',#27482,#21864,#21865); +#19561=AXIS2_PLACEMENT_3D('',#27485,#21868,#21869); +#19562=AXIS2_PLACEMENT_3D('',#27486,#21870,#21871); +#19563=AXIS2_PLACEMENT_3D('',#27487,#21872,#21873); +#19564=AXIS2_PLACEMENT_3D('',#27496,#21878,#21879); +#19565=AXIS2_PLACEMENT_3D('',#27502,#21883,#21884); +#19566=AXIS2_PLACEMENT_3D('',#27508,#21888,#21889); +#19567=AXIS2_PLACEMENT_3D('',#27511,#21892,#21893); +#19568=AXIS2_PLACEMENT_3D('',#27512,#21894,#21895); +#19569=AXIS2_PLACEMENT_3D('',#27513,#21896,#21897); +#19570=AXIS2_PLACEMENT_3D('',#27522,#21902,#21903); +#19571=AXIS2_PLACEMENT_3D('',#27528,#21907,#21908); +#19572=AXIS2_PLACEMENT_3D('',#27534,#21912,#21913); +#19573=AXIS2_PLACEMENT_3D('',#27537,#21916,#21917); +#19574=AXIS2_PLACEMENT_3D('',#27538,#21918,#21919); +#19575=AXIS2_PLACEMENT_3D('',#27539,#21920,#21921); +#19576=AXIS2_PLACEMENT_3D('',#27548,#21926,#21927); +#19577=AXIS2_PLACEMENT_3D('',#27554,#21931,#21932); +#19578=AXIS2_PLACEMENT_3D('',#27560,#21936,#21937); +#19579=AXIS2_PLACEMENT_3D('',#27563,#21940,#21941); +#19580=AXIS2_PLACEMENT_3D('',#27564,#21942,#21943); +#19581=AXIS2_PLACEMENT_3D('',#27565,#21944,#21945); +#19582=AXIS2_PLACEMENT_3D('',#27574,#21950,#21951); +#19583=AXIS2_PLACEMENT_3D('',#27580,#21955,#21956); +#19584=AXIS2_PLACEMENT_3D('',#27586,#21960,#21961); +#19585=AXIS2_PLACEMENT_3D('',#27589,#21964,#21965); +#19586=AXIS2_PLACEMENT_3D('',#27590,#21966,#21967); +#19587=AXIS2_PLACEMENT_3D('',#27591,#21968,#21969); +#19588=AXIS2_PLACEMENT_3D('',#27600,#21974,#21975); +#19589=AXIS2_PLACEMENT_3D('',#27606,#21979,#21980); +#19590=AXIS2_PLACEMENT_3D('',#27612,#21984,#21985); +#19591=AXIS2_PLACEMENT_3D('',#27615,#21988,#21989); +#19592=AXIS2_PLACEMENT_3D('',#27616,#21990,#21991); +#19593=AXIS2_PLACEMENT_3D('',#27617,#21992,#21993); +#19594=AXIS2_PLACEMENT_3D('',#27626,#21998,#21999); +#19595=AXIS2_PLACEMENT_3D('',#27632,#22003,#22004); +#19596=AXIS2_PLACEMENT_3D('',#27638,#22008,#22009); +#19597=AXIS2_PLACEMENT_3D('',#27641,#22012,#22013); +#19598=AXIS2_PLACEMENT_3D('',#27642,#22014,#22015); +#19599=AXIS2_PLACEMENT_3D('',#27643,#22016,#22017); +#19600=AXIS2_PLACEMENT_3D('',#27652,#22022,#22023); +#19601=AXIS2_PLACEMENT_3D('',#27658,#22027,#22028); +#19602=AXIS2_PLACEMENT_3D('',#27664,#22032,#22033); +#19603=AXIS2_PLACEMENT_3D('',#27667,#22036,#22037); +#19604=AXIS2_PLACEMENT_3D('',#27668,#22038,#22039); +#19605=AXIS2_PLACEMENT_3D('',#27669,#22040,#22041); +#19606=AXIS2_PLACEMENT_3D('',#27678,#22046,#22047); +#19607=AXIS2_PLACEMENT_3D('',#27684,#22051,#22052); +#19608=AXIS2_PLACEMENT_3D('',#27690,#22056,#22057); +#19609=AXIS2_PLACEMENT_3D('',#27693,#22060,#22061); +#19610=AXIS2_PLACEMENT_3D('',#27694,#22062,#22063); +#19611=AXIS2_PLACEMENT_3D('',#27695,#22064,#22065); +#19612=AXIS2_PLACEMENT_3D('',#27704,#22070,#22071); +#19613=AXIS2_PLACEMENT_3D('',#27710,#22075,#22076); +#19614=AXIS2_PLACEMENT_3D('',#27716,#22080,#22081); +#19615=AXIS2_PLACEMENT_3D('',#27719,#22084,#22085); +#19616=AXIS2_PLACEMENT_3D('',#27720,#22086,#22087); +#19617=AXIS2_PLACEMENT_3D('',#27721,#22088,#22089); +#19618=AXIS2_PLACEMENT_3D('',#27730,#22094,#22095); +#19619=AXIS2_PLACEMENT_3D('',#27736,#22099,#22100); +#19620=AXIS2_PLACEMENT_3D('',#27742,#22104,#22105); +#19621=AXIS2_PLACEMENT_3D('',#27745,#22108,#22109); +#19622=AXIS2_PLACEMENT_3D('',#27746,#22110,#22111); +#19623=AXIS2_PLACEMENT_3D('',#27747,#22112,#22113); +#19624=AXIS2_PLACEMENT_3D('',#27756,#22118,#22119); +#19625=AXIS2_PLACEMENT_3D('',#27762,#22123,#22124); +#19626=AXIS2_PLACEMENT_3D('',#27768,#22128,#22129); +#19627=AXIS2_PLACEMENT_3D('',#27771,#22132,#22133); +#19628=AXIS2_PLACEMENT_3D('',#27772,#22134,#22135); +#19629=AXIS2_PLACEMENT_3D('',#27773,#22136,#22137); +#19630=AXIS2_PLACEMENT_3D('',#27782,#22142,#22143); +#19631=AXIS2_PLACEMENT_3D('',#27788,#22147,#22148); +#19632=AXIS2_PLACEMENT_3D('',#27794,#22152,#22153); +#19633=AXIS2_PLACEMENT_3D('',#27797,#22156,#22157); +#19634=AXIS2_PLACEMENT_3D('',#27798,#22158,#22159); +#19635=AXIS2_PLACEMENT_3D('',#27799,#22160,#22161); +#19636=AXIS2_PLACEMENT_3D('',#27808,#22166,#22167); +#19637=AXIS2_PLACEMENT_3D('',#27814,#22171,#22172); +#19638=AXIS2_PLACEMENT_3D('',#27820,#22176,#22177); +#19639=AXIS2_PLACEMENT_3D('',#27823,#22180,#22181); +#19640=AXIS2_PLACEMENT_3D('',#27824,#22182,#22183); +#19641=AXIS2_PLACEMENT_3D('',#27825,#22184,#22185); +#19642=AXIS2_PLACEMENT_3D('',#27834,#22190,#22191); +#19643=AXIS2_PLACEMENT_3D('',#27840,#22195,#22196); +#19644=AXIS2_PLACEMENT_3D('',#27846,#22200,#22201); +#19645=AXIS2_PLACEMENT_3D('',#27849,#22204,#22205); +#19646=AXIS2_PLACEMENT_3D('',#27850,#22206,#22207); +#19647=AXIS2_PLACEMENT_3D('',#27851,#22208,#22209); +#19648=AXIS2_PLACEMENT_3D('',#27860,#22214,#22215); +#19649=AXIS2_PLACEMENT_3D('',#27866,#22219,#22220); +#19650=AXIS2_PLACEMENT_3D('',#27872,#22224,#22225); +#19651=AXIS2_PLACEMENT_3D('',#27875,#22228,#22229); +#19652=AXIS2_PLACEMENT_3D('',#27876,#22230,#22231); +#19653=AXIS2_PLACEMENT_3D('',#27877,#22232,#22233); +#19654=AXIS2_PLACEMENT_3D('',#27886,#22238,#22239); +#19655=AXIS2_PLACEMENT_3D('',#27892,#22243,#22244); +#19656=AXIS2_PLACEMENT_3D('',#27898,#22248,#22249); +#19657=AXIS2_PLACEMENT_3D('',#27901,#22252,#22253); +#19658=AXIS2_PLACEMENT_3D('',#27902,#22254,#22255); +#19659=AXIS2_PLACEMENT_3D('',#27903,#22256,#22257); +#19660=AXIS2_PLACEMENT_3D('',#27912,#22262,#22263); +#19661=AXIS2_PLACEMENT_3D('',#27918,#22267,#22268); +#19662=AXIS2_PLACEMENT_3D('',#27924,#22272,#22273); +#19663=AXIS2_PLACEMENT_3D('',#27927,#22276,#22277); +#19664=AXIS2_PLACEMENT_3D('',#27928,#22278,#22279); +#19665=AXIS2_PLACEMENT_3D('',#27929,#22280,#22281); +#19666=AXIS2_PLACEMENT_3D('',#27938,#22286,#22287); +#19667=AXIS2_PLACEMENT_3D('',#27944,#22291,#22292); +#19668=AXIS2_PLACEMENT_3D('',#27950,#22296,#22297); +#19669=AXIS2_PLACEMENT_3D('',#27953,#22300,#22301); +#19670=AXIS2_PLACEMENT_3D('',#27954,#22302,#22303); +#19671=AXIS2_PLACEMENT_3D('',#27955,#22304,#22305); +#19672=AXIS2_PLACEMENT_3D('',#27964,#22310,#22311); +#19673=AXIS2_PLACEMENT_3D('',#27970,#22315,#22316); +#19674=AXIS2_PLACEMENT_3D('',#27976,#22320,#22321); +#19675=AXIS2_PLACEMENT_3D('',#27979,#22324,#22325); +#19676=AXIS2_PLACEMENT_3D('',#27980,#22326,#22327); +#19677=AXIS2_PLACEMENT_3D('',#27981,#22328,#22329); +#19678=AXIS2_PLACEMENT_3D('',#27990,#22334,#22335); +#19679=AXIS2_PLACEMENT_3D('',#27996,#22339,#22340); +#19680=AXIS2_PLACEMENT_3D('',#28002,#22344,#22345); +#19681=AXIS2_PLACEMENT_3D('',#28005,#22348,#22349); +#19682=AXIS2_PLACEMENT_3D('',#28006,#22350,#22351); +#19683=AXIS2_PLACEMENT_3D('',#28007,#22352,#22353); +#19684=AXIS2_PLACEMENT_3D('',#28016,#22358,#22359); +#19685=AXIS2_PLACEMENT_3D('',#28022,#22363,#22364); +#19686=AXIS2_PLACEMENT_3D('',#28028,#22368,#22369); +#19687=AXIS2_PLACEMENT_3D('',#28031,#22372,#22373); +#19688=AXIS2_PLACEMENT_3D('',#28032,#22374,#22375); +#19689=AXIS2_PLACEMENT_3D('',#28033,#22376,#22377); +#19690=AXIS2_PLACEMENT_3D('',#28042,#22382,#22383); +#19691=AXIS2_PLACEMENT_3D('',#28048,#22387,#22388); +#19692=AXIS2_PLACEMENT_3D('',#28054,#22392,#22393); +#19693=AXIS2_PLACEMENT_3D('',#28057,#22396,#22397); +#19694=AXIS2_PLACEMENT_3D('',#28058,#22398,#22399); +#19695=AXIS2_PLACEMENT_3D('',#28059,#22400,#22401); +#19696=AXIS2_PLACEMENT_3D('',#28068,#22406,#22407); +#19697=AXIS2_PLACEMENT_3D('',#28074,#22411,#22412); +#19698=AXIS2_PLACEMENT_3D('',#28080,#22416,#22417); +#19699=AXIS2_PLACEMENT_3D('',#28081,#22418,#22419); +#19700=AXIS2_PLACEMENT_3D('',#28082,#22420,#22421); +#19701=AXIS2_PLACEMENT_3D('',#28083,#22422,#22423); +#19702=AXIS2_PLACEMENT_3D('',#28084,#22424,#22425); +#19703=AXIS2_PLACEMENT_3D('',#28085,#22426,#22427); +#19704=AXIS2_PLACEMENT_3D('',#28094,#22432,#22433); +#19705=AXIS2_PLACEMENT_3D('',#28100,#22437,#22438); +#19706=AXIS2_PLACEMENT_3D('',#28106,#22442,#22443); +#19707=AXIS2_PLACEMENT_3D('',#28107,#22444,#22445); +#19708=AXIS2_PLACEMENT_3D('',#28108,#22446,#22447); +#19709=AXIS2_PLACEMENT_3D('',#28109,#22448,#22449); +#19710=AXIS2_PLACEMENT_3D('',#28110,#22450,#22451); +#19711=AXIS2_PLACEMENT_3D('',#28111,#22452,#22453); +#19712=AXIS2_PLACEMENT_3D('',#28120,#22458,#22459); +#19713=AXIS2_PLACEMENT_3D('',#28126,#22463,#22464); +#19714=AXIS2_PLACEMENT_3D('',#28132,#22468,#22469); +#19715=AXIS2_PLACEMENT_3D('',#28133,#22470,#22471); +#19716=AXIS2_PLACEMENT_3D('',#28134,#22472,#22473); +#19717=AXIS2_PLACEMENT_3D('',#28135,#22474,#22475); +#19718=AXIS2_PLACEMENT_3D('',#28136,#22476,#22477); +#19719=AXIS2_PLACEMENT_3D('',#28137,#22478,#22479); +#19720=AXIS2_PLACEMENT_3D('',#28146,#22484,#22485); +#19721=AXIS2_PLACEMENT_3D('',#28152,#22489,#22490); +#19722=AXIS2_PLACEMENT_3D('',#28158,#22494,#22495); +#19723=AXIS2_PLACEMENT_3D('',#28159,#22496,#22497); +#19724=AXIS2_PLACEMENT_3D('',#28160,#22498,#22499); +#19725=AXIS2_PLACEMENT_3D('',#28161,#22500,#22501); +#19726=AXIS2_PLACEMENT_3D('',#28162,#22502,#22503); +#19727=AXIS2_PLACEMENT_3D('',#28163,#22504,#22505); +#19728=AXIS2_PLACEMENT_3D('',#28172,#22510,#22511); +#19729=AXIS2_PLACEMENT_3D('',#28178,#22515,#22516); +#19730=AXIS2_PLACEMENT_3D('',#28184,#22520,#22521); +#19731=AXIS2_PLACEMENT_3D('',#28185,#22522,#22523); +#19732=AXIS2_PLACEMENT_3D('',#28186,#22524,#22525); +#19733=AXIS2_PLACEMENT_3D('',#28187,#22526,#22527); +#19734=AXIS2_PLACEMENT_3D('',#28188,#22528,#22529); +#19735=AXIS2_PLACEMENT_3D('',#28189,#22530,#22531); +#19736=AXIS2_PLACEMENT_3D('',#28198,#22536,#22537); +#19737=AXIS2_PLACEMENT_3D('',#28204,#22541,#22542); +#19738=AXIS2_PLACEMENT_3D('',#28210,#22546,#22547); +#19739=AXIS2_PLACEMENT_3D('',#28211,#22548,#22549); +#19740=AXIS2_PLACEMENT_3D('',#28212,#22550,#22551); +#19741=AXIS2_PLACEMENT_3D('',#28213,#22552,#22553); +#19742=AXIS2_PLACEMENT_3D('',#28214,#22554,#22555); +#19743=AXIS2_PLACEMENT_3D('',#28215,#22556,#22557); +#19744=AXIS2_PLACEMENT_3D('',#28224,#22562,#22563); +#19745=AXIS2_PLACEMENT_3D('',#28230,#22567,#22568); +#19746=AXIS2_PLACEMENT_3D('',#28236,#22572,#22573); +#19747=AXIS2_PLACEMENT_3D('',#28237,#22574,#22575); +#19748=AXIS2_PLACEMENT_3D('',#28238,#22576,#22577); +#19749=AXIS2_PLACEMENT_3D('',#28239,#22578,#22579); +#19750=AXIS2_PLACEMENT_3D('',#28240,#22580,#22581); +#19751=AXIS2_PLACEMENT_3D('',#28241,#22582,#22583); +#19752=AXIS2_PLACEMENT_3D('',#28250,#22588,#22589); +#19753=AXIS2_PLACEMENT_3D('',#28256,#22593,#22594); +#19754=AXIS2_PLACEMENT_3D('',#28262,#22598,#22599); +#19755=AXIS2_PLACEMENT_3D('',#28263,#22600,#22601); +#19756=AXIS2_PLACEMENT_3D('',#28264,#22602,#22603); +#19757=AXIS2_PLACEMENT_3D('',#28265,#22604,#22605); +#19758=AXIS2_PLACEMENT_3D('',#28266,#22606,#22607); +#19759=AXIS2_PLACEMENT_3D('',#28267,#22608,#22609); +#19760=AXIS2_PLACEMENT_3D('',#28276,#22614,#22615); +#19761=AXIS2_PLACEMENT_3D('',#28282,#22619,#22620); +#19762=AXIS2_PLACEMENT_3D('',#28288,#22624,#22625); +#19763=AXIS2_PLACEMENT_3D('',#28289,#22626,#22627); +#19764=AXIS2_PLACEMENT_3D('',#28290,#22628,#22629); +#19765=AXIS2_PLACEMENT_3D('',#28291,#22630,#22631); +#19766=AXIS2_PLACEMENT_3D('',#28292,#22632,#22633); +#19767=AXIS2_PLACEMENT_3D('',#28293,#22634,#22635); +#19768=AXIS2_PLACEMENT_3D('',#28302,#22640,#22641); +#19769=AXIS2_PLACEMENT_3D('',#28308,#22645,#22646); +#19770=AXIS2_PLACEMENT_3D('',#28314,#22650,#22651); +#19771=AXIS2_PLACEMENT_3D('',#28315,#22652,#22653); +#19772=AXIS2_PLACEMENT_3D('',#28316,#22654,#22655); +#19773=AXIS2_PLACEMENT_3D('',#28317,#22656,#22657); +#19774=AXIS2_PLACEMENT_3D('',#28318,#22658,#22659); +#19775=AXIS2_PLACEMENT_3D('',#28319,#22660,#22661); +#19776=AXIS2_PLACEMENT_3D('',#28328,#22666,#22667); +#19777=AXIS2_PLACEMENT_3D('',#28334,#22671,#22672); +#19778=AXIS2_PLACEMENT_3D('',#28340,#22676,#22677); +#19779=AXIS2_PLACEMENT_3D('',#28341,#22678,#22679); +#19780=AXIS2_PLACEMENT_3D('',#28342,#22680,#22681); +#19781=AXIS2_PLACEMENT_3D('',#28343,#22682,#22683); +#19782=AXIS2_PLACEMENT_3D('',#28344,#22684,#22685); +#19783=AXIS2_PLACEMENT_3D('',#28345,#22686,#22687); +#19784=AXIS2_PLACEMENT_3D('',#28354,#22692,#22693); +#19785=AXIS2_PLACEMENT_3D('',#28360,#22697,#22698); +#19786=AXIS2_PLACEMENT_3D('',#28366,#22702,#22703); +#19787=AXIS2_PLACEMENT_3D('',#28367,#22704,#22705); +#19788=AXIS2_PLACEMENT_3D('',#28368,#22706,#22707); +#19789=AXIS2_PLACEMENT_3D('',#28369,#22708,#22709); +#19790=AXIS2_PLACEMENT_3D('',#28370,#22710,#22711); +#19791=AXIS2_PLACEMENT_3D('',#28371,#22712,#22713); +#19792=AXIS2_PLACEMENT_3D('',#28380,#22718,#22719); +#19793=AXIS2_PLACEMENT_3D('',#28386,#22723,#22724); +#19794=AXIS2_PLACEMENT_3D('',#28392,#22728,#22729); +#19795=AXIS2_PLACEMENT_3D('',#28393,#22730,#22731); +#19796=AXIS2_PLACEMENT_3D('',#28394,#22732,#22733); +#19797=AXIS2_PLACEMENT_3D('',#28395,#22734,#22735); +#19798=AXIS2_PLACEMENT_3D('',#28396,#22736,#22737); +#19799=AXIS2_PLACEMENT_3D('',#28397,#22738,#22739); +#19800=AXIS2_PLACEMENT_3D('',#28406,#22744,#22745); +#19801=AXIS2_PLACEMENT_3D('',#28412,#22749,#22750); +#19802=AXIS2_PLACEMENT_3D('',#28418,#22754,#22755); +#19803=AXIS2_PLACEMENT_3D('',#28419,#22756,#22757); +#19804=AXIS2_PLACEMENT_3D('',#28420,#22758,#22759); +#19805=AXIS2_PLACEMENT_3D('',#28421,#22760,#22761); +#19806=AXIS2_PLACEMENT_3D('',#28422,#22762,#22763); +#19807=AXIS2_PLACEMENT_3D('',#28423,#22764,#22765); +#19808=AXIS2_PLACEMENT_3D('',#28432,#22770,#22771); +#19809=AXIS2_PLACEMENT_3D('',#28438,#22775,#22776); +#19810=AXIS2_PLACEMENT_3D('',#28444,#22780,#22781); +#19811=AXIS2_PLACEMENT_3D('',#28445,#22782,#22783); +#19812=AXIS2_PLACEMENT_3D('',#28446,#22784,#22785); +#19813=AXIS2_PLACEMENT_3D('',#28447,#22786,#22787); +#19814=AXIS2_PLACEMENT_3D('',#28448,#22788,#22789); +#19815=AXIS2_PLACEMENT_3D('',#28449,#22790,#22791); +#19816=AXIS2_PLACEMENT_3D('',#28458,#22796,#22797); +#19817=AXIS2_PLACEMENT_3D('',#28464,#22801,#22802); +#19818=AXIS2_PLACEMENT_3D('',#28470,#22806,#22807); +#19819=AXIS2_PLACEMENT_3D('',#28471,#22808,#22809); +#19820=AXIS2_PLACEMENT_3D('',#28472,#22810,#22811); +#19821=AXIS2_PLACEMENT_3D('',#28473,#22812,#22813); +#19822=AXIS2_PLACEMENT_3D('',#28474,#22814,#22815); +#19823=AXIS2_PLACEMENT_3D('',#28475,#22816,#22817); +#19824=AXIS2_PLACEMENT_3D('',#28484,#22822,#22823); +#19825=AXIS2_PLACEMENT_3D('',#28490,#22827,#22828); +#19826=AXIS2_PLACEMENT_3D('',#28496,#22832,#22833); +#19827=AXIS2_PLACEMENT_3D('',#28497,#22834,#22835); +#19828=AXIS2_PLACEMENT_3D('',#28498,#22836,#22837); +#19829=AXIS2_PLACEMENT_3D('',#28499,#22838,#22839); +#19830=AXIS2_PLACEMENT_3D('',#28500,#22840,#22841); +#19831=AXIS2_PLACEMENT_3D('',#28501,#22842,#22843); +#19832=AXIS2_PLACEMENT_3D('',#28510,#22848,#22849); +#19833=AXIS2_PLACEMENT_3D('',#28516,#22853,#22854); +#19834=AXIS2_PLACEMENT_3D('',#28522,#22858,#22859); +#19835=AXIS2_PLACEMENT_3D('',#28523,#22860,#22861); +#19836=AXIS2_PLACEMENT_3D('',#28524,#22862,#22863); +#19837=AXIS2_PLACEMENT_3D('',#28525,#22864,#22865); +#19838=AXIS2_PLACEMENT_3D('',#28526,#22866,#22867); +#19839=AXIS2_PLACEMENT_3D('',#28527,#22868,#22869); +#19840=AXIS2_PLACEMENT_3D('',#28536,#22874,#22875); +#19841=AXIS2_PLACEMENT_3D('',#28542,#22879,#22880); +#19842=AXIS2_PLACEMENT_3D('',#28548,#22884,#22885); +#19843=AXIS2_PLACEMENT_3D('',#28549,#22886,#22887); +#19844=AXIS2_PLACEMENT_3D('',#28550,#22888,#22889); +#19845=AXIS2_PLACEMENT_3D('',#28551,#22890,#22891); +#19846=AXIS2_PLACEMENT_3D('',#28552,#22892,#22893); +#19847=AXIS2_PLACEMENT_3D('',#28553,#22894,#22895); +#19848=AXIS2_PLACEMENT_3D('',#28562,#22900,#22901); +#19849=AXIS2_PLACEMENT_3D('',#28568,#22905,#22906); +#19850=AXIS2_PLACEMENT_3D('',#28574,#22910,#22911); +#19851=AXIS2_PLACEMENT_3D('',#28575,#22912,#22913); +#19852=AXIS2_PLACEMENT_3D('',#28576,#22914,#22915); +#19853=AXIS2_PLACEMENT_3D('',#28577,#22916,#22917); +#19854=AXIS2_PLACEMENT_3D('',#28578,#22918,#22919); +#19855=AXIS2_PLACEMENT_3D('',#28579,#22920,#22921); +#19856=AXIS2_PLACEMENT_3D('',#28588,#22926,#22927); +#19857=AXIS2_PLACEMENT_3D('',#28594,#22931,#22932); +#19858=AXIS2_PLACEMENT_3D('',#28600,#22936,#22937); +#19859=AXIS2_PLACEMENT_3D('',#28601,#22938,#22939); +#19860=AXIS2_PLACEMENT_3D('',#28602,#22940,#22941); +#19861=AXIS2_PLACEMENT_3D('',#28603,#22942,#22943); +#19862=AXIS2_PLACEMENT_3D('',#28604,#22944,#22945); +#19863=AXIS2_PLACEMENT_3D('',#28605,#22946,#22947); +#19864=AXIS2_PLACEMENT_3D('',#28614,#22952,#22953); +#19865=AXIS2_PLACEMENT_3D('',#28620,#22957,#22958); +#19866=AXIS2_PLACEMENT_3D('',#28626,#22962,#22963); +#19867=AXIS2_PLACEMENT_3D('',#28627,#22964,#22965); +#19868=AXIS2_PLACEMENT_3D('',#28628,#22966,#22967); +#19869=AXIS2_PLACEMENT_3D('',#28629,#22968,#22969); +#19870=AXIS2_PLACEMENT_3D('',#28630,#22970,#22971); +#19871=AXIS2_PLACEMENT_3D('',#28631,#22972,#22973); +#19872=AXIS2_PLACEMENT_3D('',#28640,#22978,#22979); +#19873=AXIS2_PLACEMENT_3D('',#28646,#22983,#22984); +#19874=AXIS2_PLACEMENT_3D('',#28652,#22988,#22989); +#19875=AXIS2_PLACEMENT_3D('',#28653,#22990,#22991); +#19876=AXIS2_PLACEMENT_3D('',#28654,#22992,#22993); +#19877=AXIS2_PLACEMENT_3D('',#28655,#22994,#22995); +#19878=AXIS2_PLACEMENT_3D('',#28656,#22996,#22997); +#19879=AXIS2_PLACEMENT_3D('',#28657,#22998,#22999); +#19880=AXIS2_PLACEMENT_3D('',#28666,#23004,#23005); +#19881=AXIS2_PLACEMENT_3D('',#28672,#23009,#23010); +#19882=AXIS2_PLACEMENT_3D('',#28678,#23014,#23015); +#19883=AXIS2_PLACEMENT_3D('',#28679,#23016,#23017); +#19884=AXIS2_PLACEMENT_3D('',#28680,#23018,#23019); +#19885=AXIS2_PLACEMENT_3D('',#28681,#23020,#23021); +#19886=AXIS2_PLACEMENT_3D('',#28682,#23022,#23023); +#19887=AXIS2_PLACEMENT_3D('',#28683,#23024,#23025); +#19888=AXIS2_PLACEMENT_3D('',#28692,#23030,#23031); +#19889=AXIS2_PLACEMENT_3D('',#28698,#23035,#23036); +#19890=AXIS2_PLACEMENT_3D('',#28704,#23040,#23041); +#19891=AXIS2_PLACEMENT_3D('',#28705,#23042,#23043); +#19892=AXIS2_PLACEMENT_3D('',#28706,#23044,#23045); +#19893=AXIS2_PLACEMENT_3D('',#28707,#23046,#23047); +#19894=AXIS2_PLACEMENT_3D('',#28708,#23048,#23049); +#19895=AXIS2_PLACEMENT_3D('',#28709,#23050,#23051); +#19896=AXIS2_PLACEMENT_3D('',#28718,#23056,#23057); +#19897=AXIS2_PLACEMENT_3D('',#28724,#23061,#23062); +#19898=AXIS2_PLACEMENT_3D('',#28730,#23066,#23067); +#19899=AXIS2_PLACEMENT_3D('',#28731,#23068,#23069); +#19900=AXIS2_PLACEMENT_3D('',#28732,#23070,#23071); +#19901=AXIS2_PLACEMENT_3D('',#28733,#23072,#23073); +#19902=AXIS2_PLACEMENT_3D('',#28734,#23074,#23075); +#19903=AXIS2_PLACEMENT_3D('',#28735,#23076,#23077); +#19904=AXIS2_PLACEMENT_3D('',#28744,#23082,#23083); +#19905=AXIS2_PLACEMENT_3D('',#28750,#23087,#23088); +#19906=AXIS2_PLACEMENT_3D('',#28756,#23092,#23093); +#19907=AXIS2_PLACEMENT_3D('',#28757,#23094,#23095); +#19908=AXIS2_PLACEMENT_3D('',#28758,#23096,#23097); +#19909=AXIS2_PLACEMENT_3D('',#28759,#23098,#23099); +#19910=AXIS2_PLACEMENT_3D('',#28760,#23100,#23101); +#19911=AXIS2_PLACEMENT_3D('',#28761,#23102,#23103); +#19912=AXIS2_PLACEMENT_3D('',#28770,#23108,#23109); +#19913=AXIS2_PLACEMENT_3D('',#28776,#23113,#23114); +#19914=AXIS2_PLACEMENT_3D('',#28782,#23118,#23119); +#19915=AXIS2_PLACEMENT_3D('',#28783,#23120,#23121); +#19916=AXIS2_PLACEMENT_3D('',#28784,#23122,#23123); +#19917=AXIS2_PLACEMENT_3D('',#28785,#23124,#23125); +#19918=AXIS2_PLACEMENT_3D('',#28786,#23126,#23127); +#19919=AXIS2_PLACEMENT_3D('',#28787,#23128,#23129); +#19920=AXIS2_PLACEMENT_3D('',#28796,#23134,#23135); +#19921=AXIS2_PLACEMENT_3D('',#28802,#23139,#23140); +#19922=AXIS2_PLACEMENT_3D('',#28808,#23144,#23145); +#19923=AXIS2_PLACEMENT_3D('',#28814,#23149,#23150); +#19924=AXIS2_PLACEMENT_3D('',#28820,#23154,#23155); +#19925=AXIS2_PLACEMENT_3D('',#28826,#23159,#23160); +#19926=AXIS2_PLACEMENT_3D('',#28832,#23164,#23165); +#19927=AXIS2_PLACEMENT_3D('',#28838,#23169,#23170); +#19928=AXIS2_PLACEMENT_3D('',#28844,#23174,#23175); +#19929=AXIS2_PLACEMENT_3D('',#28850,#23179,#23180); +#19930=AXIS2_PLACEMENT_3D('',#28856,#23184,#23185); +#19931=AXIS2_PLACEMENT_3D('',#28862,#23189,#23190); +#19932=AXIS2_PLACEMENT_3D('',#28868,#23194,#23195); +#19933=AXIS2_PLACEMENT_3D('',#28871,#23198,#23199); +#19934=AXIS2_PLACEMENT_3D('',#28872,#23200,#23201); +#19935=AXIS2_PLACEMENT_3D('placement',#28873,#23202,#23203); +#19936=AXIS2_PLACEMENT_3D('',#28874,#23204,#23205); +#19937=AXIS2_PLACEMENT_3D('',#28877,#23206,#23207); +#19938=AXIS2_PLACEMENT_3D('',#28881,#23209,#23210); +#19939=AXIS2_PLACEMENT_3D('',#28883,#23212,#23213); +#19940=AXIS2_PLACEMENT_3D('',#28888,#23216,#23217); +#19941=AXIS2_PLACEMENT_3D('',#28889,#23218,#23219); +#19942=AXIS2_PLACEMENT_3D('',#28892,#23220,#23221); +#19943=AXIS2_PLACEMENT_3D('',#28896,#23223,#23224); +#19944=AXIS2_PLACEMENT_3D('',#28898,#23226,#23227); +#19945=AXIS2_PLACEMENT_3D('',#28903,#23230,#23231); +#19946=AXIS2_PLACEMENT_3D('',#28904,#23232,#23233); +#19947=AXIS2_PLACEMENT_3D('',#28907,#23234,#23235); +#19948=AXIS2_PLACEMENT_3D('',#28911,#23237,#23238); +#19949=AXIS2_PLACEMENT_3D('',#28913,#23240,#23241); +#19950=AXIS2_PLACEMENT_3D('',#28918,#23244,#23245); +#19951=AXIS2_PLACEMENT_3D('',#28919,#23246,#23247); +#19952=AXIS2_PLACEMENT_3D('',#28923,#23249,#23250); +#19953=AXIS2_PLACEMENT_3D('',#28925,#23252,#23253); +#19954=AXIS2_PLACEMENT_3D('',#28935,#23259,#23260); +#19955=AXIS2_PLACEMENT_3D('',#28939,#23262,#23263); +#19956=AXIS2_PLACEMENT_3D('',#28941,#23265,#23266); +#19957=AXIS2_PLACEMENT_3D('',#28945,#23268,#23269); +#19958=AXIS2_PLACEMENT_3D('',#28947,#23271,#23272); +#19959=AXIS2_PLACEMENT_3D('',#28949,#23273,#23274); +#19960=AXIS2_PLACEMENT_3D('',#28952,#23276,#23277); +#19961=AXIS2_PLACEMENT_3D('',#28953,#23278,#23279); +#19962=AXIS2_PLACEMENT_3D('',#28956,#23281,#23282); +#19963=AXIS2_PLACEMENT_3D('',#28957,#23283,#23284); +#19964=AXIS2_PLACEMENT_3D('',#28961,#23288,#23289); +#19965=AXIS2_PLACEMENT_3D('',#28964,#23290,#23291); +#19966=AXIS2_PLACEMENT_3D('',#28968,#23293,#23294); +#19967=AXIS2_PLACEMENT_3D('',#28970,#23296,#23297); +#19968=AXIS2_PLACEMENT_3D('',#28973,#23298,#23299); +#19969=AXIS2_PLACEMENT_3D('',#28974,#23300,#23301); +#19970=AXIS2_PLACEMENT_3D('',#28975,#23302,#23303); +#19971=AXIS2_PLACEMENT_3D('',#28976,#23304,#23305); +#19972=AXIS2_PLACEMENT_3D('',#28979,#23306,#23307); +#19973=AXIS2_PLACEMENT_3D('',#28982,#23310,#23311); +#19974=AXIS2_PLACEMENT_3D('',#28985,#23312,#23313); +#19975=AXIS2_PLACEMENT_3D('',#28986,#23314,#23315); +#19976=AXIS2_PLACEMENT_3D('',#28987,#23316,#23317); +#19977=AXIS2_PLACEMENT_3D('',#28988,#23318,#23319); +#19978=AXIS2_PLACEMENT_3D('',#28991,#23320,#23321); +#19979=AXIS2_PLACEMENT_3D('',#28994,#23324,#23325); +#19980=AXIS2_PLACEMENT_3D('',#28997,#23326,#23327); +#19981=AXIS2_PLACEMENT_3D('',#28998,#23328,#23329); +#19982=AXIS2_PLACEMENT_3D('',#28999,#23330,#23331); +#19983=AXIS2_PLACEMENT_3D('',#29000,#23332,#23333); +#19984=AXIS2_PLACEMENT_3D('',#29003,#23334,#23335); +#19985=AXIS2_PLACEMENT_3D('',#29006,#23338,#23339); +#19986=AXIS2_PLACEMENT_3D('',#29007,#23340,#23341); +#19987=AXIS2_PLACEMENT_3D('',#29008,#23342,#23343); +#19988=AXIS2_PLACEMENT_3D('',#29019,#23344,#23345); +#19989=AXIS2_PLACEMENT_3D('',#29021,#23346,#23347); +#19990=AXIS2_PLACEMENT_3D('',#29022,#23348,#23349); +#19991=AXIS2_PLACEMENT_3D('',#29023,#23350,#23351); +#19992=AXIS2_PLACEMENT_3D('',#29025,#23352,#23353); +#19993=AXIS2_PLACEMENT_3D('',#29037,#23355,#23356); +#19994=AXIS2_PLACEMENT_3D('',#29038,#23357,#23358); +#19995=AXIS2_PLACEMENT_3D('',#29039,#23359,#23360); +#19996=AXIS2_PLACEMENT_3D('',#29041,#23361,#23362); +#19997=AXIS2_PLACEMENT_3D('',#29043,#23364,#23365); +#19998=AXIS2_PLACEMENT_3D('',#29045,#23366,#23367); +#19999=AXIS2_PLACEMENT_3D('',#29049,#23371,#23372); +#20000=AXIS2_PLACEMENT_3D('',#29051,#23373,#23374); +#20001=AXIS2_PLACEMENT_3D('',#29054,#23376,#23377); +#20002=AXIS2_PLACEMENT_3D('',#29065,#23378,#23379); +#20003=AXIS2_PLACEMENT_3D('',#29066,#23380,#23381); +#20004=AXIS2_PLACEMENT_3D('',#29067,#23382,#23383); +#20005=AXIS2_PLACEMENT_3D('',#29078,#23385,#23386); +#20006=AXIS2_PLACEMENT_3D('',#29079,#23387,#23388); +#20007=AXIS2_PLACEMENT_3D('',#29080,#23389,#23390); +#20008=AXIS2_PLACEMENT_3D('',#29082,#23392,#23393); +#20009=AXIS2_PLACEMENT_3D('',#29083,#23394,#23395); +#20010=AXIS2_PLACEMENT_3D('',#29084,#23396,#23397); +#20011=AXIS2_PLACEMENT_3D('placement',#29085,#23398,#23399); +#20012=AXIS2_PLACEMENT_3D('',#29086,#23400,#23401); +#20013=AXIS2_PLACEMENT_3D('',#29089,#23402,#23403); +#20014=AXIS2_PLACEMENT_3D('',#29093,#23405,#23406); +#20015=AXIS2_PLACEMENT_3D('',#29095,#23408,#23409); +#20016=AXIS2_PLACEMENT_3D('',#29099,#23411,#23412); +#20017=AXIS2_PLACEMENT_3D('',#29101,#23414,#23415); +#20018=AXIS2_PLACEMENT_3D('',#29105,#23417,#23418); +#20019=AXIS2_PLACEMENT_3D('',#29107,#23420,#23421); +#20020=AXIS2_PLACEMENT_3D('',#29109,#23422,#23423); +#20021=AXIS2_PLACEMENT_3D('',#29111,#23424,#23425); +#20022=AXIS2_PLACEMENT_3D('',#29113,#23426,#23427); +#20023=AXIS2_PLACEMENT_3D('',#29115,#23428,#23429); +#20024=AXIS2_PLACEMENT_3D('',#29119,#23431,#23432); +#20025=AXIS2_PLACEMENT_3D('',#29120,#23433,#23434); +#20026=AXIS2_PLACEMENT_3D('',#29121,#23435,#23436); +#20027=AXIS2_PLACEMENT_3D('',#29124,#23437,#23438); +#20028=AXIS2_PLACEMENT_3D('',#29126,#23439,#23440); +#20029=AXIS2_PLACEMENT_3D('',#29127,#23441,#23442); +#20030=AXIS2_PLACEMENT_3D('',#29129,#23443,#23444); +#20031=AXIS2_PLACEMENT_3D('',#29131,#23445,#23446); +#20032=AXIS2_PLACEMENT_3D('',#29133,#23447,#23448); +#20033=AXIS2_PLACEMENT_3D('',#29135,#23450,#23451); +#20034=AXIS2_PLACEMENT_3D('',#29139,#23454,#23455); +#20035=AXIS2_PLACEMENT_3D('',#29141,#23456,#23457); +#20036=AXIS2_PLACEMENT_3D('',#29142,#23458,#23459); +#20037=AXIS2_PLACEMENT_3D('',#29143,#23460,#23461); +#20038=AXIS2_PLACEMENT_3D('',#29147,#23464,#23465); +#20039=AXIS2_PLACEMENT_3D('',#29151,#23468,#23469); +#20040=AXIS2_PLACEMENT_3D('',#29153,#23470,#23471); +#20041=AXIS2_PLACEMENT_3D('',#29154,#23472,#23473); +#20042=AXIS2_PLACEMENT_3D('',#29155,#23474,#23475); +#20043=AXIS2_PLACEMENT_3D('',#29159,#23478,#23479); +#20044=AXIS2_PLACEMENT_3D('',#29161,#23480,#23481); +#20045=AXIS2_PLACEMENT_3D('',#29163,#23482,#23483); +#20046=AXIS2_PLACEMENT_3D('',#29165,#23485,#23486); +#20047=AXIS2_PLACEMENT_3D('',#29167,#23487,#23488); +#20048=AXIS2_PLACEMENT_3D('',#29169,#23489,#23490); +#20049=AXIS2_PLACEMENT_3D('',#29171,#23492,#23493); +#20050=AXIS2_PLACEMENT_3D('',#29174,#23495,#23496); +#20051=AXIS2_PLACEMENT_3D('',#29175,#23497,#23498); +#20052=AXIS2_PLACEMENT_3D('',#29177,#23499,#23500); +#20053=AXIS2_PLACEMENT_3D('',#29179,#23502,#23503); +#20054=AXIS2_PLACEMENT_3D('',#29182,#23505,#23506); +#20055=AXIS2_PLACEMENT_3D('',#29183,#23507,#23508); +#20056=AXIS2_PLACEMENT_3D('',#29185,#23509,#23510); +#20057=AXIS2_PLACEMENT_3D('',#29187,#23512,#23513); +#20058=AXIS2_PLACEMENT_3D('',#29190,#23515,#23516); +#20059=AXIS2_PLACEMENT_3D('',#29191,#23517,#23518); +#20060=AXIS2_PLACEMENT_3D('',#29194,#23520,#23521); +#20061=AXIS2_PLACEMENT_3D('',#29195,#23522,#23523); +#20062=AXIS2_PLACEMENT_3D('',#29197,#23524,#23525); +#20063=AXIS2_PLACEMENT_3D('',#29199,#23527,#23528); +#20064=AXIS2_PLACEMENT_3D('',#29201,#23529,#23530); +#20065=AXIS2_PLACEMENT_3D('',#29203,#23532,#23533); +#20066=AXIS2_PLACEMENT_3D('',#29206,#23534,#23535); +#20067=AXIS2_PLACEMENT_3D('',#29210,#23537,#23538); +#20068=AXIS2_PLACEMENT_3D('',#29212,#23539,#23540); +#20069=AXIS2_PLACEMENT_3D('',#29213,#23541,#23542); +#20070=AXIS2_PLACEMENT_3D('',#29215,#23543,#23544); +#20071=AXIS2_PLACEMENT_3D('',#29216,#23545,#23546); +#20072=AXIS2_PLACEMENT_3D('',#29217,#23547,#23548); +#20073=AXIS2_PLACEMENT_3D('',#29219,#23549,#23550); +#20074=AXIS2_PLACEMENT_3D('',#29221,#23551,#23552); +#20075=AXIS2_PLACEMENT_3D('',#29223,#23553,#23554); +#20076=AXIS2_PLACEMENT_3D('',#29227,#23556,#23557); +#20077=AXIS2_PLACEMENT_3D('',#29229,#23558,#23559); +#20078=AXIS2_PLACEMENT_3D('',#29230,#23560,#23561); +#20079=AXIS2_PLACEMENT_3D('',#29231,#23562,#23563); +#20080=AXIS2_PLACEMENT_3D('',#29235,#23566,#23567); +#20081=AXIS2_PLACEMENT_3D('',#29236,#23568,#23569); +#20082=AXIS2_PLACEMENT_3D('',#29237,#23570,#23571); +#20083=AXIS2_PLACEMENT_3D('',#29241,#23574,#23575); +#20084=AXIS2_PLACEMENT_3D('',#29242,#23576,#23577); +#20085=AXIS2_PLACEMENT_3D('',#29243,#23578,#23579); +#20086=AXIS2_PLACEMENT_3D('',#29247,#23582,#23583); +#20087=AXIS2_PLACEMENT_3D('',#29251,#23586,#23587); +#20088=AXIS2_PLACEMENT_3D('',#29252,#23588,#23589); +#20089=AXIS2_PLACEMENT_3D('',#29253,#23590,#23591); +#20090=AXIS2_PLACEMENT_3D('',#29257,#23594,#23595); +#20091=AXIS2_PLACEMENT_3D('',#29258,#23596,#23597); +#20092=AXIS2_PLACEMENT_3D('',#29259,#23598,#23599); +#20093=AXIS2_PLACEMENT_3D('',#29263,#23602,#23603); +#20094=AXIS2_PLACEMENT_3D('',#29264,#23604,#23605); +#20095=AXIS2_PLACEMENT_3D('',#29265,#23606,#23607); +#20096=AXIS2_PLACEMENT_3D('',#29266,#23608,#23609); +#20097=AXIS2_PLACEMENT_3D('',#29267,#23610,#23611); +#20098=AXIS2_PLACEMENT_3D('',#29268,#23612,#23613); +#20099=AXIS2_PLACEMENT_3D('',#29269,#23614,#23615); +#20100=AXIS2_PLACEMENT_3D('',#29270,#23616,#23617); +#20101=AXIS2_PLACEMENT_3D('',#29271,#23618,#23619); +#20102=AXIS2_PLACEMENT_3D('',#29272,#23620,#23621); +#20103=AXIS2_PLACEMENT_3D('',#29273,#23622,#23623); +#20104=AXIS2_PLACEMENT_3D('',#29274,#23624,#23625); +#20105=AXIS2_PLACEMENT_3D('',#29275,#23626,#23627); +#20106=AXIS2_PLACEMENT_3D('',#29276,#23628,#23629); +#20107=AXIS2_PLACEMENT_3D('',#29277,#23630,#23631); +#20108=AXIS2_PLACEMENT_3D('',#29278,#23632,#23633); +#20109=AXIS2_PLACEMENT_3D('',#29279,#23634,#23635); +#20110=AXIS2_PLACEMENT_3D('placement',#29280,#23636,#23637); +#20111=AXIS2_PLACEMENT_3D('',#29281,#23638,#23639); +#20112=AXIS2_PLACEMENT_3D('',#29284,#23640,#23641); +#20113=AXIS2_PLACEMENT_3D('',#29288,#23643,#23644); +#20114=AXIS2_PLACEMENT_3D('',#29290,#23646,#23647); +#20115=AXIS2_PLACEMENT_3D('',#29294,#23649,#23650); +#20116=AXIS2_PLACEMENT_3D('',#29296,#23652,#23653); +#20117=AXIS2_PLACEMENT_3D('',#29300,#23655,#23656); +#20118=AXIS2_PLACEMENT_3D('',#29302,#23658,#23659); +#20119=AXIS2_PLACEMENT_3D('',#29304,#23660,#23661); +#20120=AXIS2_PLACEMENT_3D('',#29306,#23662,#23663); +#20121=AXIS2_PLACEMENT_3D('',#29308,#23664,#23665); +#20122=AXIS2_PLACEMENT_3D('',#29310,#23666,#23667); +#20123=AXIS2_PLACEMENT_3D('',#29314,#23669,#23670); +#20124=AXIS2_PLACEMENT_3D('',#29315,#23671,#23672); +#20125=AXIS2_PLACEMENT_3D('',#29316,#23673,#23674); +#20126=AXIS2_PLACEMENT_3D('',#29319,#23675,#23676); +#20127=AXIS2_PLACEMENT_3D('',#29321,#23677,#23678); +#20128=AXIS2_PLACEMENT_3D('',#29322,#23679,#23680); +#20129=AXIS2_PLACEMENT_3D('',#29324,#23681,#23682); +#20130=AXIS2_PLACEMENT_3D('',#29326,#23683,#23684); +#20131=AXIS2_PLACEMENT_3D('',#29328,#23685,#23686); +#20132=AXIS2_PLACEMENT_3D('',#29330,#23688,#23689); +#20133=AXIS2_PLACEMENT_3D('',#29334,#23692,#23693); +#20134=AXIS2_PLACEMENT_3D('',#29336,#23694,#23695); +#20135=AXIS2_PLACEMENT_3D('',#29337,#23696,#23697); +#20136=AXIS2_PLACEMENT_3D('',#29338,#23698,#23699); +#20137=AXIS2_PLACEMENT_3D('',#29342,#23702,#23703); +#20138=AXIS2_PLACEMENT_3D('',#29346,#23706,#23707); +#20139=AXIS2_PLACEMENT_3D('',#29348,#23708,#23709); +#20140=AXIS2_PLACEMENT_3D('',#29349,#23710,#23711); +#20141=AXIS2_PLACEMENT_3D('',#29350,#23712,#23713); +#20142=AXIS2_PLACEMENT_3D('',#29354,#23716,#23717); +#20143=AXIS2_PLACEMENT_3D('',#29356,#23718,#23719); +#20144=AXIS2_PLACEMENT_3D('',#29358,#23720,#23721); +#20145=AXIS2_PLACEMENT_3D('',#29360,#23723,#23724); +#20146=AXIS2_PLACEMENT_3D('',#29362,#23725,#23726); +#20147=AXIS2_PLACEMENT_3D('',#29364,#23727,#23728); +#20148=AXIS2_PLACEMENT_3D('',#29366,#23730,#23731); +#20149=AXIS2_PLACEMENT_3D('',#29369,#23733,#23734); +#20150=AXIS2_PLACEMENT_3D('',#29370,#23735,#23736); +#20151=AXIS2_PLACEMENT_3D('',#29372,#23737,#23738); +#20152=AXIS2_PLACEMENT_3D('',#29374,#23740,#23741); +#20153=AXIS2_PLACEMENT_3D('',#29377,#23743,#23744); +#20154=AXIS2_PLACEMENT_3D('',#29378,#23745,#23746); +#20155=AXIS2_PLACEMENT_3D('',#29380,#23747,#23748); +#20156=AXIS2_PLACEMENT_3D('',#29382,#23750,#23751); +#20157=AXIS2_PLACEMENT_3D('',#29385,#23753,#23754); +#20158=AXIS2_PLACEMENT_3D('',#29386,#23755,#23756); +#20159=AXIS2_PLACEMENT_3D('',#29389,#23758,#23759); +#20160=AXIS2_PLACEMENT_3D('',#29390,#23760,#23761); +#20161=AXIS2_PLACEMENT_3D('',#29392,#23762,#23763); +#20162=AXIS2_PLACEMENT_3D('',#29394,#23765,#23766); +#20163=AXIS2_PLACEMENT_3D('',#29396,#23767,#23768); +#20164=AXIS2_PLACEMENT_3D('',#29398,#23770,#23771); +#20165=AXIS2_PLACEMENT_3D('',#29401,#23772,#23773); +#20166=AXIS2_PLACEMENT_3D('',#29405,#23775,#23776); +#20167=AXIS2_PLACEMENT_3D('',#29407,#23777,#23778); +#20168=AXIS2_PLACEMENT_3D('',#29408,#23779,#23780); +#20169=AXIS2_PLACEMENT_3D('',#29410,#23781,#23782); +#20170=AXIS2_PLACEMENT_3D('',#29411,#23783,#23784); +#20171=AXIS2_PLACEMENT_3D('',#29412,#23785,#23786); +#20172=AXIS2_PLACEMENT_3D('',#29414,#23787,#23788); +#20173=AXIS2_PLACEMENT_3D('',#29416,#23789,#23790); +#20174=AXIS2_PLACEMENT_3D('',#29418,#23791,#23792); +#20175=AXIS2_PLACEMENT_3D('',#29422,#23794,#23795); +#20176=AXIS2_PLACEMENT_3D('',#29424,#23796,#23797); +#20177=AXIS2_PLACEMENT_3D('',#29425,#23798,#23799); +#20178=AXIS2_PLACEMENT_3D('',#29426,#23800,#23801); +#20179=AXIS2_PLACEMENT_3D('',#29430,#23804,#23805); +#20180=AXIS2_PLACEMENT_3D('',#29431,#23806,#23807); +#20181=AXIS2_PLACEMENT_3D('',#29432,#23808,#23809); +#20182=AXIS2_PLACEMENT_3D('',#29436,#23812,#23813); +#20183=AXIS2_PLACEMENT_3D('',#29437,#23814,#23815); +#20184=AXIS2_PLACEMENT_3D('',#29438,#23816,#23817); +#20185=AXIS2_PLACEMENT_3D('',#29442,#23820,#23821); +#20186=AXIS2_PLACEMENT_3D('',#29446,#23824,#23825); +#20187=AXIS2_PLACEMENT_3D('',#29447,#23826,#23827); +#20188=AXIS2_PLACEMENT_3D('',#29448,#23828,#23829); +#20189=AXIS2_PLACEMENT_3D('',#29452,#23832,#23833); +#20190=AXIS2_PLACEMENT_3D('',#29453,#23834,#23835); +#20191=AXIS2_PLACEMENT_3D('',#29454,#23836,#23837); +#20192=AXIS2_PLACEMENT_3D('',#29458,#23840,#23841); +#20193=AXIS2_PLACEMENT_3D('',#29459,#23842,#23843); +#20194=AXIS2_PLACEMENT_3D('',#29460,#23844,#23845); +#20195=AXIS2_PLACEMENT_3D('',#29461,#23846,#23847); +#20196=AXIS2_PLACEMENT_3D('',#29462,#23848,#23849); +#20197=AXIS2_PLACEMENT_3D('',#29463,#23850,#23851); +#20198=AXIS2_PLACEMENT_3D('',#29464,#23852,#23853); +#20199=AXIS2_PLACEMENT_3D('',#29465,#23854,#23855); +#20200=AXIS2_PLACEMENT_3D('',#29466,#23856,#23857); +#20201=AXIS2_PLACEMENT_3D('',#29467,#23858,#23859); +#20202=AXIS2_PLACEMENT_3D('',#29468,#23860,#23861); +#20203=AXIS2_PLACEMENT_3D('',#29469,#23862,#23863); +#20204=AXIS2_PLACEMENT_3D('',#29470,#23864,#23865); +#20205=AXIS2_PLACEMENT_3D('',#29471,#23866,#23867); +#20206=AXIS2_PLACEMENT_3D('',#29472,#23868,#23869); +#20207=AXIS2_PLACEMENT_3D('',#29473,#23870,#23871); +#20208=AXIS2_PLACEMENT_3D('',#29474,#23872,#23873); +#20209=AXIS2_PLACEMENT_3D('placement',#29475,#23874,#23875); +#20210=AXIS2_PLACEMENT_3D('',#29476,#23876,#23877); +#20211=AXIS2_PLACEMENT_3D('',#29539,#23880,#23881); +#20212=AXIS2_PLACEMENT_3D('',#29543,#23883,#23884); +#20213=AXIS2_PLACEMENT_3D('',#29545,#23886,#23887); +#20214=AXIS2_PLACEMENT_3D('',#29549,#23889,#23890); +#20215=AXIS2_PLACEMENT_3D('',#29551,#23892,#23893); +#20216=AXIS2_PLACEMENT_3D('',#29553,#23894,#23895); +#20217=AXIS2_PLACEMENT_3D('',#29555,#23896,#23897); +#20218=AXIS2_PLACEMENT_3D('',#29557,#23898,#23899); +#20219=AXIS2_PLACEMENT_3D('',#29559,#23900,#23901); +#20220=AXIS2_PLACEMENT_3D('',#29563,#23903,#23904); +#20221=AXIS2_PLACEMENT_3D('',#29564,#23905,#23906); +#20222=AXIS2_PLACEMENT_3D('',#29565,#23907,#23908); +#20223=AXIS2_PLACEMENT_3D('',#29568,#23909,#23910); +#20224=AXIS2_PLACEMENT_3D('',#29570,#23911,#23912); +#20225=AXIS2_PLACEMENT_3D('',#29571,#23913,#23914); +#20226=AXIS2_PLACEMENT_3D('',#29573,#23915,#23916); +#20227=AXIS2_PLACEMENT_3D('',#29575,#23917,#23918); +#20228=AXIS2_PLACEMENT_3D('',#29577,#23919,#23920); +#20229=AXIS2_PLACEMENT_3D('',#29579,#23922,#23923); +#20230=AXIS2_PLACEMENT_3D('',#29583,#23926,#23927); +#20231=AXIS2_PLACEMENT_3D('',#29585,#23928,#23929); +#20232=AXIS2_PLACEMENT_3D('',#29586,#23930,#23931); +#20233=AXIS2_PLACEMENT_3D('',#29587,#23932,#23933); +#20234=AXIS2_PLACEMENT_3D('',#29591,#23936,#23937); +#20235=AXIS2_PLACEMENT_3D('',#29595,#23940,#23941); +#20236=AXIS2_PLACEMENT_3D('',#29597,#23942,#23943); +#20237=AXIS2_PLACEMENT_3D('',#29598,#23944,#23945); +#20238=AXIS2_PLACEMENT_3D('',#29599,#23946,#23947); +#20239=AXIS2_PLACEMENT_3D('',#29603,#23950,#23951); +#20240=AXIS2_PLACEMENT_3D('',#29663,#23953,#23954); +#20241=AXIS2_PLACEMENT_3D('',#29723,#23956,#23957); +#20242=AXIS2_PLACEMENT_3D('',#29726,#23959,#23960); +#20243=AXIS2_PLACEMENT_3D('',#29727,#23961,#23962); +#20244=AXIS2_PLACEMENT_3D('',#29730,#23964,#23965); +#20245=AXIS2_PLACEMENT_3D('',#29731,#23966,#23967); +#20246=AXIS2_PLACEMENT_3D('',#29734,#23969,#23970); +#20247=AXIS2_PLACEMENT_3D('',#29735,#23971,#23972); +#20248=AXIS2_PLACEMENT_3D('',#29737,#23973,#23974); +#20249=AXIS2_PLACEMENT_3D('',#29739,#23976,#23977); +#20250=AXIS2_PLACEMENT_3D('',#29742,#23979,#23980); +#20251=AXIS2_PLACEMENT_3D('',#29743,#23981,#23982); +#20252=AXIS2_PLACEMENT_3D('',#29745,#23983,#23984); +#20253=AXIS2_PLACEMENT_3D('',#29747,#23986,#23987); +#20254=AXIS2_PLACEMENT_3D('',#29750,#23989,#23990); +#20255=AXIS2_PLACEMENT_3D('',#29751,#23991,#23992); +#20256=AXIS2_PLACEMENT_3D('',#29753,#23993,#23994); +#20257=AXIS2_PLACEMENT_3D('',#29755,#23996,#23997); +#20258=AXIS2_PLACEMENT_3D('',#29758,#23998,#23999); +#20259=AXIS2_PLACEMENT_3D('',#29762,#24001,#24002); +#20260=AXIS2_PLACEMENT_3D('',#29764,#24003,#24004); +#20261=AXIS2_PLACEMENT_3D('',#29765,#24005,#24006); +#20262=AXIS2_PLACEMENT_3D('',#29767,#24007,#24008); +#20263=AXIS2_PLACEMENT_3D('',#29768,#24009,#24010); +#20264=AXIS2_PLACEMENT_3D('',#29769,#24011,#24012); +#20265=AXIS2_PLACEMENT_3D('',#29771,#24013,#24014); +#20266=AXIS2_PLACEMENT_3D('',#29773,#24015,#24016); +#20267=AXIS2_PLACEMENT_3D('',#29775,#24017,#24018); +#20268=AXIS2_PLACEMENT_3D('',#29779,#24020,#24021); +#20269=AXIS2_PLACEMENT_3D('',#29781,#24022,#24023); +#20270=AXIS2_PLACEMENT_3D('',#29782,#24024,#24025); +#20271=AXIS2_PLACEMENT_3D('',#29783,#24026,#24027); +#20272=AXIS2_PLACEMENT_3D('',#29787,#24030,#24031); +#20273=AXIS2_PLACEMENT_3D('',#29788,#24032,#24033); +#20274=AXIS2_PLACEMENT_3D('',#29789,#24034,#24035); +#20275=AXIS2_PLACEMENT_3D('',#29793,#24038,#24039); +#20276=AXIS2_PLACEMENT_3D('',#29794,#24040,#24041); +#20277=AXIS2_PLACEMENT_3D('',#29795,#24042,#24043); +#20278=AXIS2_PLACEMENT_3D('',#29799,#24046,#24047); +#20279=AXIS2_PLACEMENT_3D('',#29803,#24050,#24051); +#20280=AXIS2_PLACEMENT_3D('',#29804,#24052,#24053); +#20281=AXIS2_PLACEMENT_3D('',#29805,#24054,#24055); +#20282=AXIS2_PLACEMENT_3D('',#29809,#24058,#24059); +#20283=AXIS2_PLACEMENT_3D('',#29810,#24060,#24061); +#20284=AXIS2_PLACEMENT_3D('',#29811,#24062,#24063); +#20285=AXIS2_PLACEMENT_3D('',#29815,#24066,#24067); +#20286=AXIS2_PLACEMENT_3D('',#29872,#24068,#24069); +#20287=AXIS2_PLACEMENT_3D('',#29873,#24070,#24071); +#20288=AXIS2_PLACEMENT_3D('',#29874,#24072,#24073); +#20289=AXIS2_PLACEMENT_3D('',#29875,#24074,#24075); +#20290=AXIS2_PLACEMENT_3D('',#29876,#24076,#24077); +#20291=AXIS2_PLACEMENT_3D('',#29877,#24078,#24079); +#20292=AXIS2_PLACEMENT_3D('',#29878,#24080,#24081); +#20293=AXIS2_PLACEMENT_3D('',#29879,#24082,#24083); +#20294=AXIS2_PLACEMENT_3D('',#29880,#24084,#24085); +#20295=AXIS2_PLACEMENT_3D('',#29881,#24086,#24087); +#20296=AXIS2_PLACEMENT_3D('',#29882,#24088,#24089); +#20297=AXIS2_PLACEMENT_3D('',#29883,#24090,#24091); +#20298=AXIS2_PLACEMENT_3D('',#29884,#24092,#24093); +#20299=AXIS2_PLACEMENT_3D('',#29885,#24094,#24095); +#20300=AXIS2_PLACEMENT_3D('placement',#29886,#24096,#24097); +#20301=AXIS2_PLACEMENT_3D('',#29887,#24098,#24099); +#20302=AXIS2_PLACEMENT_3D('',#29890,#24100,#24101); +#20303=AXIS2_PLACEMENT_3D('',#29894,#24103,#24104); +#20304=AXIS2_PLACEMENT_3D('',#29896,#24106,#24107); +#20305=AXIS2_PLACEMENT_3D('',#29900,#24109,#24110); +#20306=AXIS2_PLACEMENT_3D('',#29902,#24112,#24113); +#20307=AXIS2_PLACEMENT_3D('',#29906,#24115,#24116); +#20308=AXIS2_PLACEMENT_3D('',#29908,#24118,#24119); +#20309=AXIS2_PLACEMENT_3D('',#29910,#24120,#24121); +#20310=AXIS2_PLACEMENT_3D('',#29912,#24122,#24123); +#20311=AXIS2_PLACEMENT_3D('',#29914,#24124,#24125); +#20312=AXIS2_PLACEMENT_3D('',#29916,#24126,#24127); +#20313=AXIS2_PLACEMENT_3D('',#29920,#24129,#24130); +#20314=AXIS2_PLACEMENT_3D('',#29921,#24131,#24132); +#20315=AXIS2_PLACEMENT_3D('',#29922,#24133,#24134); +#20316=AXIS2_PLACEMENT_3D('',#29925,#24135,#24136); +#20317=AXIS2_PLACEMENT_3D('',#29927,#24137,#24138); +#20318=AXIS2_PLACEMENT_3D('',#29928,#24139,#24140); +#20319=AXIS2_PLACEMENT_3D('',#29930,#24141,#24142); +#20320=AXIS2_PLACEMENT_3D('',#29932,#24143,#24144); +#20321=AXIS2_PLACEMENT_3D('',#29934,#24145,#24146); +#20322=AXIS2_PLACEMENT_3D('',#29936,#24148,#24149); +#20323=AXIS2_PLACEMENT_3D('',#29940,#24152,#24153); +#20324=AXIS2_PLACEMENT_3D('',#29942,#24154,#24155); +#20325=AXIS2_PLACEMENT_3D('',#29943,#24156,#24157); +#20326=AXIS2_PLACEMENT_3D('',#29944,#24158,#24159); +#20327=AXIS2_PLACEMENT_3D('',#29948,#24162,#24163); +#20328=AXIS2_PLACEMENT_3D('',#29952,#24166,#24167); +#20329=AXIS2_PLACEMENT_3D('',#29954,#24168,#24169); +#20330=AXIS2_PLACEMENT_3D('',#29955,#24170,#24171); +#20331=AXIS2_PLACEMENT_3D('',#29956,#24172,#24173); +#20332=AXIS2_PLACEMENT_3D('',#29960,#24176,#24177); +#20333=AXIS2_PLACEMENT_3D('',#29962,#24178,#24179); +#20334=AXIS2_PLACEMENT_3D('',#29964,#24180,#24181); +#20335=AXIS2_PLACEMENT_3D('',#29966,#24183,#24184); +#20336=AXIS2_PLACEMENT_3D('',#29968,#24185,#24186); +#20337=AXIS2_PLACEMENT_3D('',#29970,#24187,#24188); +#20338=AXIS2_PLACEMENT_3D('',#29972,#24190,#24191); +#20339=AXIS2_PLACEMENT_3D('',#29975,#24193,#24194); +#20340=AXIS2_PLACEMENT_3D('',#29976,#24195,#24196); +#20341=AXIS2_PLACEMENT_3D('',#29978,#24197,#24198); +#20342=AXIS2_PLACEMENT_3D('',#29980,#24200,#24201); +#20343=AXIS2_PLACEMENT_3D('',#29983,#24203,#24204); +#20344=AXIS2_PLACEMENT_3D('',#29984,#24205,#24206); +#20345=AXIS2_PLACEMENT_3D('',#29986,#24207,#24208); +#20346=AXIS2_PLACEMENT_3D('',#29988,#24210,#24211); +#20347=AXIS2_PLACEMENT_3D('',#29991,#24213,#24214); +#20348=AXIS2_PLACEMENT_3D('',#29992,#24215,#24216); +#20349=AXIS2_PLACEMENT_3D('',#29995,#24218,#24219); +#20350=AXIS2_PLACEMENT_3D('',#29996,#24220,#24221); +#20351=AXIS2_PLACEMENT_3D('',#29998,#24222,#24223); +#20352=AXIS2_PLACEMENT_3D('',#30000,#24225,#24226); +#20353=AXIS2_PLACEMENT_3D('',#30002,#24227,#24228); +#20354=AXIS2_PLACEMENT_3D('',#30004,#24230,#24231); +#20355=AXIS2_PLACEMENT_3D('',#30007,#24232,#24233); +#20356=AXIS2_PLACEMENT_3D('',#30011,#24235,#24236); +#20357=AXIS2_PLACEMENT_3D('',#30013,#24237,#24238); +#20358=AXIS2_PLACEMENT_3D('',#30014,#24239,#24240); +#20359=AXIS2_PLACEMENT_3D('',#30016,#24241,#24242); +#20360=AXIS2_PLACEMENT_3D('',#30017,#24243,#24244); +#20361=AXIS2_PLACEMENT_3D('',#30018,#24245,#24246); +#20362=AXIS2_PLACEMENT_3D('',#30020,#24247,#24248); +#20363=AXIS2_PLACEMENT_3D('',#30022,#24249,#24250); +#20364=AXIS2_PLACEMENT_3D('',#30024,#24251,#24252); +#20365=AXIS2_PLACEMENT_3D('',#30028,#24254,#24255); +#20366=AXIS2_PLACEMENT_3D('',#30030,#24256,#24257); +#20367=AXIS2_PLACEMENT_3D('',#30031,#24258,#24259); +#20368=AXIS2_PLACEMENT_3D('',#30032,#24260,#24261); +#20369=AXIS2_PLACEMENT_3D('',#30036,#24264,#24265); +#20370=AXIS2_PLACEMENT_3D('',#30037,#24266,#24267); +#20371=AXIS2_PLACEMENT_3D('',#30038,#24268,#24269); +#20372=AXIS2_PLACEMENT_3D('',#30042,#24272,#24273); +#20373=AXIS2_PLACEMENT_3D('',#30043,#24274,#24275); +#20374=AXIS2_PLACEMENT_3D('',#30044,#24276,#24277); +#20375=AXIS2_PLACEMENT_3D('',#30048,#24280,#24281); +#20376=AXIS2_PLACEMENT_3D('',#30052,#24284,#24285); +#20377=AXIS2_PLACEMENT_3D('',#30053,#24286,#24287); +#20378=AXIS2_PLACEMENT_3D('',#30054,#24288,#24289); +#20379=AXIS2_PLACEMENT_3D('',#30058,#24292,#24293); +#20380=AXIS2_PLACEMENT_3D('',#30059,#24294,#24295); +#20381=AXIS2_PLACEMENT_3D('',#30060,#24296,#24297); +#20382=AXIS2_PLACEMENT_3D('',#30064,#24300,#24301); +#20383=AXIS2_PLACEMENT_3D('',#30065,#24302,#24303); +#20384=AXIS2_PLACEMENT_3D('',#30066,#24304,#24305); +#20385=AXIS2_PLACEMENT_3D('',#30067,#24306,#24307); +#20386=AXIS2_PLACEMENT_3D('',#30068,#24308,#24309); +#20387=AXIS2_PLACEMENT_3D('',#30069,#24310,#24311); +#20388=AXIS2_PLACEMENT_3D('',#30070,#24312,#24313); +#20389=AXIS2_PLACEMENT_3D('',#30071,#24314,#24315); +#20390=AXIS2_PLACEMENT_3D('',#30072,#24316,#24317); +#20391=AXIS2_PLACEMENT_3D('',#30073,#24318,#24319); +#20392=AXIS2_PLACEMENT_3D('',#30074,#24320,#24321); +#20393=AXIS2_PLACEMENT_3D('',#30075,#24322,#24323); +#20394=AXIS2_PLACEMENT_3D('',#30076,#24324,#24325); +#20395=AXIS2_PLACEMENT_3D('',#30077,#24326,#24327); +#20396=AXIS2_PLACEMENT_3D('',#30078,#24328,#24329); +#20397=AXIS2_PLACEMENT_3D('',#30079,#24330,#24331); +#20398=AXIS2_PLACEMENT_3D('',#30080,#24332,#24333); +#20399=AXIS2_PLACEMENT_3D('placement',#30081,#24334,#24335); +#20400=AXIS2_PLACEMENT_3D('',#30082,#24336,#24337); +#20401=AXIS2_PLACEMENT_3D('',#30091,#24342,#24343); +#20402=AXIS2_PLACEMENT_3D('',#30116,#24356,#24357); +#20403=AXIS2_PLACEMENT_3D('',#30125,#24362,#24363); +#20404=AXIS2_PLACEMENT_3D('',#30142,#24372,#24373); +#20405=AXIS2_PLACEMENT_3D('',#30151,#24378,#24379); +#20406=AXIS2_PLACEMENT_3D('',#30157,#24383,#24384); +#20407=AXIS2_PLACEMENT_3D('',#30168,#24391,#24392); +#20408=AXIS2_PLACEMENT_3D('',#30174,#24396,#24397); +#20409=AXIS2_PLACEMENT_3D('',#30190,#24407,#24408); +#20410=AXIS2_PLACEMENT_3D('',#30199,#24413,#24414); +#20411=AXIS2_PLACEMENT_3D('',#30208,#24419,#24420); +#20412=AXIS2_PLACEMENT_3D('',#30214,#24424,#24425); +#20413=AXIS2_PLACEMENT_3D('',#30223,#24430,#24431); +#20414=AXIS2_PLACEMENT_3D('',#30229,#24435,#24436); +#20415=AXIS2_PLACEMENT_3D('',#30238,#24441,#24442); +#20416=AXIS2_PLACEMENT_3D('',#30244,#24446,#24447); +#20417=AXIS2_PLACEMENT_3D('',#30245,#24448,#24449); +#20418=AXIS2_PLACEMENT_3D('',#30246,#24450,#24451); +#20419=AXIS2_PLACEMENT_3D('',#30247,#24452,#24453); +#20420=AXIS2_PLACEMENT_3D('',#30248,#24454,#24455); +#20421=AXIS2_PLACEMENT_3D('',#30249,#24456,#24457); +#20422=AXIS2_PLACEMENT_3D('',#30250,#24458,#24459); +#20423=AXIS2_PLACEMENT_3D('',#30251,#24460,#24461); +#20424=AXIS2_PLACEMENT_3D('',#30252,#24462,#24463); +#20425=AXIS2_PLACEMENT_3D('',#30253,#24464,#24465); +#20426=AXIS2_PLACEMENT_3D('',#30254,#24466,#24467); +#20427=AXIS2_PLACEMENT_3D('',#30255,#24468,#24469); +#20428=AXIS2_PLACEMENT_3D('',#30256,#24470,#24471); +#20429=AXIS2_PLACEMENT_3D('',#30257,#24472,#24473); +#20430=AXIS2_PLACEMENT_3D('',#30258,#24474,#24475); +#20431=AXIS2_PLACEMENT_3D('',#30259,#24476,#24477); +#20432=AXIS2_PLACEMENT_3D('',#30260,#24478,#24479); +#20433=AXIS2_PLACEMENT_3D('',#30261,#24480,#24481); +#20434=AXIS2_PLACEMENT_3D('',#30262,#24482,#24483); +#20435=AXIS2_PLACEMENT_3D('',#30263,#24484,#24485); +#20436=AXIS2_PLACEMENT_3D('',#30264,#24486,#24487); +#20437=AXIS2_PLACEMENT_3D('',#30265,#24488,#24489); +#20438=AXIS2_PLACEMENT_3D('',#30266,#24490,#24491); +#20439=AXIS2_PLACEMENT_3D('',#30267,#24492,#24493); +#20440=AXIS2_PLACEMENT_3D('',#30268,#24494,#24495); +#20441=AXIS2_PLACEMENT_3D('',#30269,#24496,#24497); +#20442=AXIS2_PLACEMENT_3D('',#30270,#24498,#24499); +#20443=AXIS2_PLACEMENT_3D('',#30271,#24500,#24501); +#20444=AXIS2_PLACEMENT_3D('',#30272,#24502,#24503); +#20445=AXIS2_PLACEMENT_3D('',#30273,#24504,#24505); +#20446=AXIS2_PLACEMENT_3D('',#30274,#24506,#24507); +#20447=AXIS2_PLACEMENT_3D('',#30275,#24508,#24509); +#20448=AXIS2_PLACEMENT_3D('',#30276,#24510,#24511); +#20449=AXIS2_PLACEMENT_3D('',#30277,#24512,#24513); +#20450=AXIS2_PLACEMENT_3D('',#30278,#24514,#24515); +#20451=AXIS2_PLACEMENT_3D('',#30279,#24516,#24517); +#20452=AXIS2_PLACEMENT_3D('',#30280,#24518,#24519); +#20453=AXIS2_PLACEMENT_3D('',#30281,#24520,#24521); +#20454=AXIS2_PLACEMENT_3D('',#30282,#24522,#24523); +#20455=AXIS2_PLACEMENT_3D('',#30283,#24524,#24525); +#20456=AXIS2_PLACEMENT_3D('',#30284,#24526,#24527); +#20457=AXIS2_PLACEMENT_3D('',#30285,#24528,#24529); +#20458=AXIS2_PLACEMENT_3D('',#30286,#24530,#24531); +#20459=AXIS2_PLACEMENT_3D('',#30287,#24532,#24533); +#20460=AXIS2_PLACEMENT_3D('',#30288,#24534,#24535); +#20461=AXIS2_PLACEMENT_3D('',#30289,#24536,#24537); +#20462=AXIS2_PLACEMENT_3D('',#30290,#24538,#24539); +#20463=AXIS2_PLACEMENT_3D('',#30291,#24540,#24541); +#20464=AXIS2_PLACEMENT_3D('',#30292,#24542,#24543); +#20465=AXIS2_PLACEMENT_3D('',#30293,#24544,#24545); +#20466=AXIS2_PLACEMENT_3D('',#30294,#24546,#24547); +#20467=AXIS2_PLACEMENT_3D('',#30295,#24548,#24549); +#20468=AXIS2_PLACEMENT_3D('',#30296,#24550,#24551); +#20469=AXIS2_PLACEMENT_3D('',#30297,#24552,#24553); +#20470=AXIS2_PLACEMENT_3D('',#30298,#24554,#24555); +#20471=AXIS2_PLACEMENT_3D('',#30299,#24556,#24557); +#20472=AXIS2_PLACEMENT_3D('',#30300,#24558,#24559); +#20473=AXIS2_PLACEMENT_3D('',#30301,#24560,#24561); +#20474=AXIS2_PLACEMENT_3D('',#30302,#24562,#24563); +#20475=AXIS2_PLACEMENT_3D('',#30303,#24564,#24565); +#20476=AXIS2_PLACEMENT_3D('',#30304,#24566,#24567); +#20477=AXIS2_PLACEMENT_3D('',#30305,#24568,#24569); +#20478=AXIS2_PLACEMENT_3D('',#30306,#24570,#24571); +#20479=AXIS2_PLACEMENT_3D('',#30307,#24572,#24573); +#20480=AXIS2_PLACEMENT_3D('',#30308,#24574,#24575); +#20481=AXIS2_PLACEMENT_3D('',#30309,#24576,#24577); +#20482=AXIS2_PLACEMENT_3D('',#30310,#24578,#24579); +#20483=AXIS2_PLACEMENT_3D('',#30319,#24584,#24585); +#20484=AXIS2_PLACEMENT_3D('',#30325,#24589,#24590); +#20485=AXIS2_PLACEMENT_3D('',#30334,#24595,#24596); +#20486=AXIS2_PLACEMENT_3D('',#30340,#24600,#24601); +#20487=AXIS2_PLACEMENT_3D('',#30349,#24606,#24607); +#20488=AXIS2_PLACEMENT_3D('',#30355,#24611,#24612); +#20489=AXIS2_PLACEMENT_3D('',#30356,#24613,#24614); +#20490=AXIS2_PLACEMENT_3D('',#30357,#24615,#24616); +#20491=AXIS2_PLACEMENT_3D('',#30358,#24617,#24618); +#20492=AXIS2_PLACEMENT_3D('',#30359,#24619,#24620); +#20493=AXIS2_PLACEMENT_3D('',#30360,#24621,#24622); +#20494=AXIS2_PLACEMENT_3D('',#30361,#24623,#24624); +#20495=AXIS2_PLACEMENT_3D('',#30362,#24625,#24626); +#20496=AXIS2_PLACEMENT_3D('',#30363,#24627,#24628); +#20497=AXIS2_PLACEMENT_3D('',#30364,#24629,#24630); +#20498=AXIS2_PLACEMENT_3D('',#30365,#24631,#24632); +#20499=AXIS2_PLACEMENT_3D('',#30366,#24633,#24634); +#20500=AXIS2_PLACEMENT_3D('',#30367,#24635,#24636); +#20501=AXIS2_PLACEMENT_3D('',#30368,#24637,#24638); +#20502=AXIS2_PLACEMENT_3D('',#30369,#24639,#24640); +#20503=AXIS2_PLACEMENT_3D('',#30378,#24645,#24646); +#20504=AXIS2_PLACEMENT_3D('',#30384,#24650,#24651); +#20505=AXIS2_PLACEMENT_3D('',#30393,#24656,#24657); +#20506=AXIS2_PLACEMENT_3D('',#30399,#24661,#24662); +#20507=AXIS2_PLACEMENT_3D('',#30408,#24667,#24668); +#20508=AXIS2_PLACEMENT_3D('',#30414,#24672,#24673); +#20509=AXIS2_PLACEMENT_3D('',#30415,#24674,#24675); +#20510=AXIS2_PLACEMENT_3D('',#30416,#24676,#24677); +#20511=AXIS2_PLACEMENT_3D('',#30417,#24678,#24679); +#20512=AXIS2_PLACEMENT_3D('',#30418,#24680,#24681); +#20513=AXIS2_PLACEMENT_3D('',#30419,#24682,#24683); +#20514=AXIS2_PLACEMENT_3D('',#30420,#24684,#24685); +#20515=AXIS2_PLACEMENT_3D('',#30421,#24686,#24687); +#20516=AXIS2_PLACEMENT_3D('',#30422,#24688,#24689); +#20517=AXIS2_PLACEMENT_3D('',#30423,#24690,#24691); +#20518=AXIS2_PLACEMENT_3D('',#30424,#24692,#24693); +#20519=AXIS2_PLACEMENT_3D('',#30425,#24694,#24695); +#20520=AXIS2_PLACEMENT_3D('',#30426,#24696,#24697); +#20521=AXIS2_PLACEMENT_3D('',#30427,#24698,#24699); +#20522=AXIS2_PLACEMENT_3D('placement',#30428,#24700,#24701); +#20523=AXIS2_PLACEMENT_3D('',#30429,#24702,#24703); +#20524=AXIS2_PLACEMENT_3D('',#30438,#24708,#24709); +#20525=AXIS2_PLACEMENT_3D('',#30447,#24714,#24715); +#20526=AXIS2_PLACEMENT_3D('',#30453,#24719,#24720); +#20527=AXIS2_PLACEMENT_3D('',#30459,#24724,#24725); +#20528=AXIS2_PLACEMENT_3D('',#30463,#24728,#24729); +#20529=AXIS2_PLACEMENT_3D('',#30472,#24734,#24735); +#20530=AXIS2_PLACEMENT_3D('',#30476,#24738,#24739); +#20531=AXIS2_PLACEMENT_3D('',#30482,#24743,#24744); +#20532=AXIS2_PLACEMENT_3D('',#30496,#24752,#24753); +#20533=AXIS2_PLACEMENT_3D('',#30498,#24755,#24756); +#20534=AXIS2_PLACEMENT_3D('',#30504,#24760,#24761); +#20535=AXIS2_PLACEMENT_3D('',#30508,#24764,#24765); +#20536=AXIS2_PLACEMENT_3D('',#30512,#24768,#24769); +#20537=AXIS2_PLACEMENT_3D('',#30514,#24771,#24772); +#20538=AXIS2_PLACEMENT_3D('',#30515,#24773,#24774); +#20539=AXIS2_PLACEMENT_3D('',#30518,#24777,#24778); +#20540=AXIS2_PLACEMENT_3D('',#30522,#24781,#24782); +#20541=AXIS2_PLACEMENT_3D('',#30526,#24785,#24786); +#20542=AXIS2_PLACEMENT_3D('',#30527,#24787,#24788); +#20543=AXIS2_PLACEMENT_3D('',#30529,#24790,#24791); +#20544=AXIS2_PLACEMENT_3D('placement',#30530,#24792,#24793); +#20545=AXIS2_PLACEMENT_3D('',#30531,#24794,#24795); +#20546=AXIS2_PLACEMENT_3D('',#30540,#24800,#24801); +#20547=AXIS2_PLACEMENT_3D('',#30573,#24818,#24819); +#20548=AXIS2_PLACEMENT_3D('',#30582,#24824,#24825); +#20549=AXIS2_PLACEMENT_3D('',#30615,#24842,#24843); +#20550=AXIS2_PLACEMENT_3D('',#30624,#24848,#24849); +#20551=AXIS2_PLACEMENT_3D('',#30630,#24853,#24854); +#20552=AXIS2_PLACEMENT_3D('',#30651,#24867,#24868); +#20553=AXIS2_PLACEMENT_3D('',#30657,#24872,#24873); +#20554=AXIS2_PLACEMENT_3D('',#30678,#24886,#24887); +#20555=AXIS2_PLACEMENT_3D('',#30687,#24892,#24893); +#20556=AXIS2_PLACEMENT_3D('',#30688,#24894,#24895); +#20557=AXIS2_PLACEMENT_3D('',#30689,#24896,#24897); +#20558=AXIS2_PLACEMENT_3D('',#30690,#24898,#24899); +#20559=AXIS2_PLACEMENT_3D('',#30691,#24900,#24901); +#20560=AXIS2_PLACEMENT_3D('',#30692,#24902,#24903); +#20561=AXIS2_PLACEMENT_3D('',#30693,#24904,#24905); +#20562=AXIS2_PLACEMENT_3D('',#30694,#24906,#24907); +#20563=AXIS2_PLACEMENT_3D('',#30695,#24908,#24909); +#20564=AXIS2_PLACEMENT_3D('',#30696,#24910,#24911); +#20565=AXIS2_PLACEMENT_3D('',#30697,#24912,#24913); +#20566=AXIS2_PLACEMENT_3D('',#30698,#24914,#24915); +#20567=AXIS2_PLACEMENT_3D('',#30699,#24916,#24917); +#20568=AXIS2_PLACEMENT_3D('',#30700,#24918,#24919); +#20569=AXIS2_PLACEMENT_3D('',#30701,#24920,#24921); +#20570=AXIS2_PLACEMENT_3D('',#30702,#24922,#24923); +#20571=AXIS2_PLACEMENT_3D('',#30703,#24924,#24925); +#20572=AXIS2_PLACEMENT_3D('',#30704,#24926,#24927); +#20573=AXIS2_PLACEMENT_3D('',#30705,#24928,#24929); +#20574=AXIS2_PLACEMENT_3D('',#30706,#24930,#24931); +#20575=AXIS2_PLACEMENT_3D('',#30707,#24932,#24933); +#20576=AXIS2_PLACEMENT_3D('',#30708,#24934,#24935); +#20577=AXIS2_PLACEMENT_3D('',#30709,#24936,#24937); +#20578=AXIS2_PLACEMENT_3D('',#30710,#24938,#24939); +#20579=AXIS2_PLACEMENT_3D('',#30711,#24940,#24941); +#20580=AXIS2_PLACEMENT_3D('',#30712,#24942,#24943); +#20581=AXIS2_PLACEMENT_3D('',#30713,#24944,#24945); +#20582=AXIS2_PLACEMENT_3D('',#30714,#24946,#24947); +#20583=AXIS2_PLACEMENT_3D('',#30715,#24948,#24949); +#20584=AXIS2_PLACEMENT_3D('',#30716,#24950,#24951); +#20585=AXIS2_PLACEMENT_3D('',#30717,#24952,#24953); +#20586=AXIS2_PLACEMENT_3D('',#30718,#24954,#24955); +#20587=AXIS2_PLACEMENT_3D('',#30719,#24956,#24957); +#20588=AXIS2_PLACEMENT_3D('',#30720,#24958,#24959); +#20589=AXIS2_PLACEMENT_3D('',#30721,#24960,#24961); +#20590=AXIS2_PLACEMENT_3D('',#30722,#24962,#24963); +#20591=AXIS2_PLACEMENT_3D('',#30723,#24964,#24965); +#20592=AXIS2_PLACEMENT_3D('',#30724,#24966,#24967); +#20593=AXIS2_PLACEMENT_3D('',#30725,#24968,#24969); +#20594=AXIS2_PLACEMENT_3D('',#30726,#24970,#24971); +#20595=AXIS2_PLACEMENT_3D('',#30727,#24972,#24973); +#20596=AXIS2_PLACEMENT_3D('',#30728,#24974,#24975); +#20597=AXIS2_PLACEMENT_3D('',#30729,#24976,#24977); +#20598=AXIS2_PLACEMENT_3D('',#30730,#24978,#24979); +#20599=AXIS2_PLACEMENT_3D('',#30731,#24980,#24981); +#20600=AXIS2_PLACEMENT_3D('',#30732,#24982,#24983); +#20601=AXIS2_PLACEMENT_3D('',#30733,#24984,#24985); +#20602=AXIS2_PLACEMENT_3D('',#30734,#24986,#24987); +#20603=AXIS2_PLACEMENT_3D('',#30735,#24988,#24989); +#20604=AXIS2_PLACEMENT_3D('',#30736,#24990,#24991); +#20605=AXIS2_PLACEMENT_3D('',#30737,#24992,#24993); +#20606=AXIS2_PLACEMENT_3D('',#30738,#24994,#24995); +#20607=AXIS2_PLACEMENT_3D('',#30739,#24996,#24997); +#20608=AXIS2_PLACEMENT_3D('',#30748,#25002,#25003); +#20609=AXIS2_PLACEMENT_3D('',#30754,#25007,#25008); +#20610=AXIS2_PLACEMENT_3D('',#30763,#25013,#25014); +#20611=AXIS2_PLACEMENT_3D('',#30769,#25018,#25019); +#20612=AXIS2_PLACEMENT_3D('',#30775,#25023,#25024); +#20613=AXIS2_PLACEMENT_3D('',#30784,#25029,#25030); +#20614=AXIS2_PLACEMENT_3D('',#30790,#25034,#25035); +#20615=AXIS2_PLACEMENT_3D('',#30791,#25036,#25037); +#20616=AXIS2_PLACEMENT_3D('',#30792,#25038,#25039); +#20617=AXIS2_PLACEMENT_3D('',#30793,#25040,#25041); +#20618=AXIS2_PLACEMENT_3D('',#30794,#25042,#25043); +#20619=AXIS2_PLACEMENT_3D('',#30795,#25044,#25045); +#20620=AXIS2_PLACEMENT_3D('',#30796,#25046,#25047); +#20621=AXIS2_PLACEMENT_3D('',#30797,#25048,#25049); +#20622=AXIS2_PLACEMENT_3D('',#30798,#25050,#25051); +#20623=AXIS2_PLACEMENT_3D('',#30799,#25052,#25053); +#20624=AXIS2_PLACEMENT_3D('',#30800,#25054,#25055); +#20625=AXIS2_PLACEMENT_3D('',#30801,#25056,#25057); +#20626=AXIS2_PLACEMENT_3D('',#30802,#25058,#25059); +#20627=AXIS2_PLACEMENT_3D('',#30803,#25060,#25061); +#20628=AXIS2_PLACEMENT_3D('',#30804,#25062,#25063); +#20629=AXIS2_PLACEMENT_3D('',#30813,#25068,#25069); +#20630=AXIS2_PLACEMENT_3D('',#30819,#25073,#25074); +#20631=AXIS2_PLACEMENT_3D('',#30828,#25079,#25080); +#20632=AXIS2_PLACEMENT_3D('',#30834,#25084,#25085); +#20633=AXIS2_PLACEMENT_3D('',#30840,#25089,#25090); +#20634=AXIS2_PLACEMENT_3D('',#30849,#25095,#25096); +#20635=AXIS2_PLACEMENT_3D('',#30855,#25100,#25101); +#20636=AXIS2_PLACEMENT_3D('',#30856,#25102,#25103); +#20637=AXIS2_PLACEMENT_3D('',#30857,#25104,#25105); +#20638=AXIS2_PLACEMENT_3D('',#30858,#25106,#25107); +#20639=AXIS2_PLACEMENT_3D('',#30859,#25108,#25109); +#20640=AXIS2_PLACEMENT_3D('',#30860,#25110,#25111); +#20641=AXIS2_PLACEMENT_3D('',#30861,#25112,#25113); +#20642=AXIS2_PLACEMENT_3D('',#30862,#25114,#25115); +#20643=AXIS2_PLACEMENT_3D('',#30863,#25116,#25117); +#20644=AXIS2_PLACEMENT_3D('',#30864,#25118,#25119); +#20645=AXIS2_PLACEMENT_3D('',#30865,#25120,#25121); +#20646=AXIS2_PLACEMENT_3D('',#30874,#25126,#25127); +#20647=AXIS2_PLACEMENT_3D('',#30880,#25131,#25132); +#20648=AXIS2_PLACEMENT_3D('',#30889,#25137,#25138); +#20649=AXIS2_PLACEMENT_3D('',#30895,#25142,#25143); +#20650=AXIS2_PLACEMENT_3D('',#30901,#25147,#25148); +#20651=AXIS2_PLACEMENT_3D('',#30910,#25153,#25154); +#20652=AXIS2_PLACEMENT_3D('',#30916,#25158,#25159); +#20653=AXIS2_PLACEMENT_3D('',#30917,#25160,#25161); +#20654=AXIS2_PLACEMENT_3D('',#30918,#25162,#25163); +#20655=AXIS2_PLACEMENT_3D('',#30919,#25164,#25165); +#20656=AXIS2_PLACEMENT_3D('',#30920,#25166,#25167); +#20657=AXIS2_PLACEMENT_3D('',#30921,#25168,#25169); +#20658=AXIS2_PLACEMENT_3D('',#30922,#25170,#25171); +#20659=AXIS2_PLACEMENT_3D('',#30923,#25172,#25173); +#20660=AXIS2_PLACEMENT_3D('',#30924,#25174,#25175); +#20661=AXIS2_PLACEMENT_3D('',#30925,#25176,#25177); +#20662=AXIS2_PLACEMENT_3D('',#30926,#25178,#25179); +#20663=AXIS2_PLACEMENT_3D('',#30927,#25180,#25181); +#20664=AXIS2_PLACEMENT_3D('',#30928,#25182,#25183); +#20665=AXIS2_PLACEMENT_3D('',#30929,#25184,#25185); +#20666=AXIS2_PLACEMENT_3D('',#30930,#25186,#25187); +#20667=AXIS2_PLACEMENT_3D('',#30931,#25188,#25189); +#20668=AXIS2_PLACEMENT_3D('',#30932,#25190,#25191); +#20669=AXIS2_PLACEMENT_3D('',#30933,#25192,#25193); +#20670=AXIS2_PLACEMENT_3D('',#30934,#25194,#25195); +#20671=AXIS2_PLACEMENT_3D('',#30943,#25200,#25201); +#20672=AXIS2_PLACEMENT_3D('',#30949,#25205,#25206); +#20673=AXIS2_PLACEMENT_3D('',#30958,#25211,#25212); +#20674=AXIS2_PLACEMENT_3D('',#30964,#25216,#25217); +#20675=AXIS2_PLACEMENT_3D('',#30970,#25221,#25222); +#20676=AXIS2_PLACEMENT_3D('',#30979,#25227,#25228); +#20677=AXIS2_PLACEMENT_3D('',#30985,#25232,#25233); +#20678=AXIS2_PLACEMENT_3D('',#30986,#25234,#25235); +#20679=AXIS2_PLACEMENT_3D('',#30987,#25236,#25237); +#20680=AXIS2_PLACEMENT_3D('',#30988,#25238,#25239); +#20681=AXIS2_PLACEMENT_3D('',#30989,#25240,#25241); +#20682=AXIS2_PLACEMENT_3D('',#30990,#25242,#25243); +#20683=AXIS2_PLACEMENT_3D('',#30991,#25244,#25245); +#20684=AXIS2_PLACEMENT_3D('',#30992,#25246,#25247); +#20685=AXIS2_PLACEMENT_3D('',#30993,#25248,#25249); +#20686=AXIS2_PLACEMENT_3D('',#30994,#25250,#25251); +#20687=AXIS2_PLACEMENT_3D('',#30995,#25252,#25253); +#20688=AXIS2_PLACEMENT_3D('',#30996,#25254,#25255); +#20689=AXIS2_PLACEMENT_3D('',#30997,#25256,#25257); +#20690=AXIS2_PLACEMENT_3D('',#30998,#25258,#25259); +#20691=AXIS2_PLACEMENT_3D('',#30999,#25260,#25261); +#20692=AXIS2_PLACEMENT_3D('',#31008,#25266,#25267); +#20693=AXIS2_PLACEMENT_3D('',#31014,#25271,#25272); +#20694=AXIS2_PLACEMENT_3D('',#31023,#25277,#25278); +#20695=AXIS2_PLACEMENT_3D('',#31029,#25282,#25283); +#20696=AXIS2_PLACEMENT_3D('',#31035,#25287,#25288); +#20697=AXIS2_PLACEMENT_3D('',#31044,#25293,#25294); +#20698=AXIS2_PLACEMENT_3D('',#31050,#25298,#25299); +#20699=AXIS2_PLACEMENT_3D('',#31051,#25300,#25301); +#20700=AXIS2_PLACEMENT_3D('',#31052,#25302,#25303); +#20701=AXIS2_PLACEMENT_3D('',#31053,#25304,#25305); +#20702=AXIS2_PLACEMENT_3D('',#31054,#25306,#25307); +#20703=AXIS2_PLACEMENT_3D('',#31055,#25308,#25309); +#20704=AXIS2_PLACEMENT_3D('',#31056,#25310,#25311); +#20705=AXIS2_PLACEMENT_3D('',#31057,#25312,#25313); +#20706=AXIS2_PLACEMENT_3D('',#31058,#25314,#25315); +#20707=AXIS2_PLACEMENT_3D('',#31059,#25316,#25317); +#20708=AXIS2_PLACEMENT_3D('',#31060,#25318,#25319); +#20709=AXIS2_PLACEMENT_3D('',#31069,#25324,#25325); +#20710=AXIS2_PLACEMENT_3D('',#31075,#25329,#25330); +#20711=AXIS2_PLACEMENT_3D('',#31084,#25335,#25336); +#20712=AXIS2_PLACEMENT_3D('',#31090,#25340,#25341); +#20713=AXIS2_PLACEMENT_3D('',#31096,#25345,#25346); +#20714=AXIS2_PLACEMENT_3D('',#31105,#25351,#25352); +#20715=AXIS2_PLACEMENT_3D('',#31111,#25356,#25357); +#20716=AXIS2_PLACEMENT_3D('',#31112,#25358,#25359); +#20717=AXIS2_PLACEMENT_3D('',#31113,#25360,#25361); +#20718=AXIS2_PLACEMENT_3D('',#31114,#25362,#25363); +#20719=AXIS2_PLACEMENT_3D('',#31115,#25364,#25365); +#20720=AXIS2_PLACEMENT_3D('',#31116,#25366,#25367); +#20721=AXIS2_PLACEMENT_3D('',#31117,#25368,#25369); +#20722=AXIS2_PLACEMENT_3D('',#31118,#25370,#25371); +#20723=AXIS2_PLACEMENT_3D('',#31119,#25372,#25373); +#20724=AXIS2_PLACEMENT_3D('',#31120,#25374,#25375); +#20725=AXIS2_PLACEMENT_3D('',#31121,#25376,#25377); +#20726=AXIS2_PLACEMENT_3D('',#31122,#25378,#25379); +#20727=AXIS2_PLACEMENT_3D('',#31123,#25380,#25381); +#20728=AXIS2_PLACEMENT_3D('',#31124,#25382,#25383); +#20729=AXIS2_PLACEMENT_3D('',#31125,#25384,#25385); +#20730=AXIS2_PLACEMENT_3D('',#31126,#25386,#25387); +#20731=AXIS2_PLACEMENT_3D('',#31127,#25388,#25389); +#20732=AXIS2_PLACEMENT_3D('',#31128,#25390,#25391); +#20733=AXIS2_PLACEMENT_3D('',#31129,#25392,#25393); +#20734=AXIS2_PLACEMENT_3D('',#31136,#25396,#25397); +#20735=AXIS2_PLACEMENT_3D('',#31141,#25400,#25401); +#20736=AXIS2_PLACEMENT_3D('',#31143,#25402,#25403); +#20737=AXIS2_PLACEMENT_3D('',#31144,#25404,#25405); +#20738=AXIS2_PLACEMENT_3D('',#31147,#25406,#25407); +#20739=AXIS2_PLACEMENT_3D('',#31150,#25410,#25411); +#20740=AXIS2_PLACEMENT_3D('',#31162,#25418,#25419); +#20741=AXIS2_PLACEMENT_3D('',#31166,#25422,#25423); +#20742=AXIS2_PLACEMENT_3D('',#31169,#25425,#25426); +#20743=AXIS2_PLACEMENT_3D('',#31170,#25427,#25428); +#20744=AXIS2_PLACEMENT_3D('',#31174,#25431,#25432); +#20745=AXIS2_PLACEMENT_3D('',#31184,#25438,#25439); +#20746=AXIS2_PLACEMENT_3D('',#31187,#25440,#25441); +#20747=AXIS2_PLACEMENT_3D('',#31191,#25443,#25444); +#20748=AXIS2_PLACEMENT_3D('',#31193,#25446,#25447); +#20749=AXIS2_PLACEMENT_3D('',#31198,#25449,#25450); +#20750=AXIS2_PLACEMENT_3D('',#31204,#25454,#25455); +#20751=AXIS2_PLACEMENT_3D('',#31205,#25456,#25457); +#20752=AXIS2_PLACEMENT_3D('',#31212,#25460,#25461); +#20753=AXIS2_PLACEMENT_3D('',#31217,#25464,#25465); +#20754=AXIS2_PLACEMENT_3D('',#31219,#25466,#25467); +#20755=AXIS2_PLACEMENT_3D('',#31220,#25468,#25469); +#20756=AXIS2_PLACEMENT_3D('',#31223,#25470,#25471); +#20757=AXIS2_PLACEMENT_3D('',#31226,#25474,#25475); +#20758=AXIS2_PLACEMENT_3D('',#31229,#25476,#25477); +#20759=AXIS2_PLACEMENT_3D('',#31233,#25479,#25480); +#20760=AXIS2_PLACEMENT_3D('',#31235,#25482,#25483); +#20761=AXIS2_PLACEMENT_3D('',#31240,#25485,#25486); +#20762=AXIS2_PLACEMENT_3D('',#31246,#25490,#25491); +#20763=AXIS2_PLACEMENT_3D('',#31247,#25492,#25493); +#20764=AXIS2_PLACEMENT_3D('',#31250,#25494,#25495); +#20765=AXIS2_PLACEMENT_3D('',#31254,#25497,#25498); +#20766=AXIS2_PLACEMENT_3D('',#31256,#25500,#25501); +#20767=AXIS2_PLACEMENT_3D('',#31261,#25503,#25504); +#20768=AXIS2_PLACEMENT_3D('',#31267,#25508,#25509); +#20769=AXIS2_PLACEMENT_3D('',#31268,#25510,#25511); +#20770=AXIS2_PLACEMENT_3D('',#31271,#25512,#25513); +#20771=AXIS2_PLACEMENT_3D('',#31275,#25515,#25516); +#20772=AXIS2_PLACEMENT_3D('',#31277,#25518,#25519); +#20773=AXIS2_PLACEMENT_3D('',#31282,#25521,#25522); +#20774=AXIS2_PLACEMENT_3D('',#31288,#25526,#25527); +#20775=AXIS2_PLACEMENT_3D('',#31289,#25528,#25529); +#20776=AXIS2_PLACEMENT_3D('',#31292,#25530,#25531); +#20777=AXIS2_PLACEMENT_3D('',#31296,#25533,#25534); +#20778=AXIS2_PLACEMENT_3D('',#31298,#25536,#25537); +#20779=AXIS2_PLACEMENT_3D('',#31303,#25539,#25540); +#20780=AXIS2_PLACEMENT_3D('',#31309,#25544,#25545); +#20781=AXIS2_PLACEMENT_3D('',#31310,#25546,#25547); +#20782=AXIS2_PLACEMENT_3D('',#31317,#25550,#25551); +#20783=AXIS2_PLACEMENT_3D('',#31322,#25554,#25555); +#20784=AXIS2_PLACEMENT_3D('',#31324,#25556,#25557); +#20785=AXIS2_PLACEMENT_3D('',#31325,#25558,#25559); +#20786=AXIS2_PLACEMENT_3D('',#31328,#25560,#25561); +#20787=AXIS2_PLACEMENT_3D('',#31331,#25564,#25565); +#20788=AXIS2_PLACEMENT_3D('',#31334,#25566,#25567); +#20789=AXIS2_PLACEMENT_3D('',#31338,#25569,#25570); +#20790=AXIS2_PLACEMENT_3D('',#31340,#25572,#25573); +#20791=AXIS2_PLACEMENT_3D('',#31345,#25575,#25576); +#20792=AXIS2_PLACEMENT_3D('',#31351,#25580,#25581); +#20793=AXIS2_PLACEMENT_3D('',#31352,#25582,#25583); +#20794=AXIS2_PLACEMENT_3D('',#31359,#25586,#25587); +#20795=AXIS2_PLACEMENT_3D('',#31364,#25590,#25591); +#20796=AXIS2_PLACEMENT_3D('',#31366,#25592,#25593); +#20797=AXIS2_PLACEMENT_3D('',#31367,#25594,#25595); +#20798=AXIS2_PLACEMENT_3D('',#31370,#25596,#25597); +#20799=AXIS2_PLACEMENT_3D('',#31373,#25600,#25601); +#20800=AXIS2_PLACEMENT_3D('',#31376,#25602,#25603); +#20801=AXIS2_PLACEMENT_3D('',#31380,#25605,#25606); +#20802=AXIS2_PLACEMENT_3D('',#31382,#25608,#25609); +#20803=AXIS2_PLACEMENT_3D('',#31387,#25611,#25612); +#20804=AXIS2_PLACEMENT_3D('',#31393,#25616,#25617); +#20805=AXIS2_PLACEMENT_3D('',#31394,#25618,#25619); +#20806=AXIS2_PLACEMENT_3D('',#31401,#25622,#25623); +#20807=AXIS2_PLACEMENT_3D('',#31406,#25626,#25627); +#20808=AXIS2_PLACEMENT_3D('',#31408,#25628,#25629); +#20809=AXIS2_PLACEMENT_3D('',#31409,#25630,#25631); +#20810=AXIS2_PLACEMENT_3D('',#31412,#25632,#25633); +#20811=AXIS2_PLACEMENT_3D('',#31415,#25636,#25637); +#20812=AXIS2_PLACEMENT_3D('',#31418,#25638,#25639); +#20813=AXIS2_PLACEMENT_3D('',#31422,#25641,#25642); +#20814=AXIS2_PLACEMENT_3D('',#31424,#25644,#25645); +#20815=AXIS2_PLACEMENT_3D('',#31429,#25647,#25648); +#20816=AXIS2_PLACEMENT_3D('',#31435,#25652,#25653); +#20817=AXIS2_PLACEMENT_3D('',#31436,#25654,#25655); +#20818=AXIS2_PLACEMENT_3D('',#31443,#25658,#25659); +#20819=AXIS2_PLACEMENT_3D('',#31448,#25662,#25663); +#20820=AXIS2_PLACEMENT_3D('',#31450,#25664,#25665); +#20821=AXIS2_PLACEMENT_3D('',#31451,#25666,#25667); +#20822=AXIS2_PLACEMENT_3D('',#31454,#25668,#25669); +#20823=AXIS2_PLACEMENT_3D('',#31457,#25672,#25673); +#20824=AXIS2_PLACEMENT_3D('',#31464,#25676,#25677); +#20825=AXIS2_PLACEMENT_3D('',#31469,#25680,#25681); +#20826=AXIS2_PLACEMENT_3D('',#31471,#25682,#25683); +#20827=AXIS2_PLACEMENT_3D('',#31472,#25684,#25685); +#20828=AXIS2_PLACEMENT_3D('',#31475,#25686,#25687); +#20829=AXIS2_PLACEMENT_3D('',#31478,#25690,#25691); +#20830=AXIS2_PLACEMENT_3D('',#31481,#25692,#25693); +#20831=AXIS2_PLACEMENT_3D('',#31485,#25695,#25696); +#20832=AXIS2_PLACEMENT_3D('',#31487,#25698,#25699); +#20833=AXIS2_PLACEMENT_3D('',#31492,#25701,#25702); +#20834=AXIS2_PLACEMENT_3D('',#31498,#25706,#25707); +#20835=AXIS2_PLACEMENT_3D('',#31499,#25708,#25709); +#20836=AXIS2_PLACEMENT_3D('',#31511,#25716,#25717); +#20837=AXIS2_PLACEMENT_3D('',#31523,#25724,#25725); +#20838=AXIS2_PLACEMENT_3D('',#31527,#25728,#25729); +#20839=AXIS2_PLACEMENT_3D('',#31530,#25731,#25732); +#20840=AXIS2_PLACEMENT_3D('',#31531,#25733,#25734); +#20841=AXIS2_PLACEMENT_3D('',#31535,#25737,#25738); +#20842=AXIS2_PLACEMENT_3D('',#31547,#25745,#25746); +#20843=AXIS2_PLACEMENT_3D('',#31559,#25753,#25754); +#20844=AXIS2_PLACEMENT_3D('',#31571,#25761,#25762); +#20845=AXIS2_PLACEMENT_3D('',#31583,#25769,#25770); +#20846=AXIS2_PLACEMENT_3D('',#31595,#25777,#25778); +#20847=AXIS2_PLACEMENT_3D('',#31599,#25781,#25782); +#20848=AXIS2_PLACEMENT_3D('',#31602,#25784,#25785); +#20849=AXIS2_PLACEMENT_3D('',#31603,#25786,#25787); +#20850=AXIS2_PLACEMENT_3D('',#31607,#25790,#25791); +#20851=AXIS2_PLACEMENT_3D('',#31619,#25798,#25799); +#20852=AXIS2_PLACEMENT_3D('',#31631,#25806,#25807); +#20853=AXIS2_PLACEMENT_3D('',#31635,#25810,#25811); +#20854=AXIS2_PLACEMENT_3D('',#31638,#25813,#25814); +#20855=AXIS2_PLACEMENT_3D('',#31639,#25815,#25816); +#20856=AXIS2_PLACEMENT_3D('',#31643,#25819,#25820); +#20857=AXIS2_PLACEMENT_3D('',#31655,#25827,#25828); +#20858=AXIS2_PLACEMENT_3D('',#31667,#25835,#25836); +#20859=AXIS2_PLACEMENT_3D('',#31671,#25839,#25840); +#20860=AXIS2_PLACEMENT_3D('',#31674,#25842,#25843); +#20861=AXIS2_PLACEMENT_3D('',#31675,#25844,#25845); +#20862=AXIS2_PLACEMENT_3D('',#31679,#25848,#25849); +#20863=AXIS2_PLACEMENT_3D('',#31691,#25856,#25857); +#20864=AXIS2_PLACEMENT_3D('',#31703,#25864,#25865); +#20865=AXIS2_PLACEMENT_3D('',#31707,#25868,#25869); +#20866=AXIS2_PLACEMENT_3D('',#31710,#25871,#25872); +#20867=AXIS2_PLACEMENT_3D('',#31711,#25873,#25874); +#20868=AXIS2_PLACEMENT_3D('',#31715,#25877,#25878); +#20869=AXIS2_PLACEMENT_3D('',#31727,#25885,#25886); +#20870=AXIS2_PLACEMENT_3D('',#31731,#25889,#25890); +#20871=AXIS2_PLACEMENT_3D('',#31734,#25892,#25893); +#20872=AXIS2_PLACEMENT_3D('',#31735,#25894,#25895); +#20873=AXIS2_PLACEMENT_3D('',#31739,#25898,#25899); +#20874=AXIS2_PLACEMENT_3D('',#31796,#25936,#25937); +#20875=AXIS2_PLACEMENT_3D('',#31806,#25943,#25944); +#20876=AXIS2_PLACEMENT_3D('',#31808,#25945,#25946); +#20877=AXIS2_PLACEMENT_3D('',#31810,#25948,#25949); +#20878=AXIS2_PLACEMENT_3D('',#31814,#25951,#25952); +#20879=AXIS2_PLACEMENT_3D('',#31816,#25954,#25955); +#20880=AXIS2_PLACEMENT_3D('',#31820,#25957,#25958); +#20881=AXIS2_PLACEMENT_3D('',#31822,#25960,#25961); +#20882=AXIS2_PLACEMENT_3D('',#31826,#25963,#25964); +#20883=AXIS2_PLACEMENT_3D('',#31828,#25966,#25967); +#20884=AXIS2_PLACEMENT_3D('',#31832,#25969,#25970); +#20885=AXIS2_PLACEMENT_3D('',#31834,#25972,#25973); +#20886=AXIS2_PLACEMENT_3D('',#31836,#25974,#25975); +#20887=AXIS2_PLACEMENT_3D('',#31838,#25977,#25978); +#20888=AXIS2_PLACEMENT_3D('',#31842,#25980,#25981); +#20889=AXIS2_PLACEMENT_3D('',#31844,#25983,#25984); +#20890=AXIS2_PLACEMENT_3D('',#31846,#25985,#25986); +#20891=AXIS2_PLACEMENT_3D('',#31848,#25988,#25989); +#20892=AXIS2_PLACEMENT_3D('',#31850,#25990,#25991); +#20893=AXIS2_PLACEMENT_3D('',#31852,#25993,#25994); +#20894=AXIS2_PLACEMENT_3D('',#31854,#25995,#25996); +#20895=AXIS2_PLACEMENT_3D('',#31856,#25998,#25999); +#20896=AXIS2_PLACEMENT_3D('',#31860,#26001,#26002); +#20897=AXIS2_PLACEMENT_3D('',#31862,#26004,#26005); +#20898=AXIS2_PLACEMENT_3D('',#31864,#26006,#26007); +#20899=AXIS2_PLACEMENT_3D('',#31866,#26009,#26010); +#20900=AXIS2_PLACEMENT_3D('',#31868,#26011,#26012); +#20901=AXIS2_PLACEMENT_3D('',#31870,#26014,#26015); +#20902=AXIS2_PLACEMENT_3D('',#31872,#26016,#26017); +#20903=AXIS2_PLACEMENT_3D('',#31874,#26019,#26020); +#20904=AXIS2_PLACEMENT_3D('',#31876,#26021,#26022); +#20905=AXIS2_PLACEMENT_3D('',#31878,#26024,#26025); +#20906=AXIS2_PLACEMENT_3D('',#31880,#26026,#26027); +#20907=AXIS2_PLACEMENT_3D('',#31882,#26029,#26030); +#20908=AXIS2_PLACEMENT_3D('',#31884,#26031,#26032); +#20909=AXIS2_PLACEMENT_3D('',#31886,#26034,#26035); +#20910=AXIS2_PLACEMENT_3D('',#31888,#26036,#26037); +#20911=AXIS2_PLACEMENT_3D('',#31890,#26039,#26040); +#20912=AXIS2_PLACEMENT_3D('',#31894,#26042,#26043); +#20913=AXIS2_PLACEMENT_3D('',#31896,#26045,#26046); +#20914=AXIS2_PLACEMENT_3D('',#31898,#26047,#26048); +#20915=AXIS2_PLACEMENT_3D('',#31900,#26050,#26051); +#20916=AXIS2_PLACEMENT_3D('',#31902,#26052,#26053); +#20917=AXIS2_PLACEMENT_3D('',#31904,#26055,#26056); +#20918=AXIS2_PLACEMENT_3D('',#31908,#26058,#26059); +#20919=AXIS2_PLACEMENT_3D('',#31910,#26061,#26062); +#20920=AXIS2_PLACEMENT_3D('',#31912,#26063,#26064); +#20921=AXIS2_PLACEMENT_3D('',#31914,#26066,#26067); +#20922=AXIS2_PLACEMENT_3D('',#31916,#26068,#26069); +#20923=AXIS2_PLACEMENT_3D('',#31918,#26071,#26072); +#20924=AXIS2_PLACEMENT_3D('',#31922,#26074,#26075); +#20925=AXIS2_PLACEMENT_3D('',#31924,#26077,#26078); +#20926=AXIS2_PLACEMENT_3D('',#31928,#26081,#26082); +#20927=AXIS2_PLACEMENT_3D('',#31930,#26084,#26085); +#20928=AXIS2_PLACEMENT_3D('',#31932,#26087,#26088); +#20929=AXIS2_PLACEMENT_3D('',#31936,#26091,#26092); +#20930=AXIS2_PLACEMENT_3D('',#31940,#26095,#26096); +#20931=AXIS2_PLACEMENT_3D('',#31942,#26098,#26099); +#20932=AXIS2_PLACEMENT_3D('',#31946,#26102,#26103); +#20933=AXIS2_PLACEMENT_3D('',#31948,#26105,#26106); +#20934=AXIS2_PLACEMENT_3D('',#31952,#26109,#26110); +#20935=AXIS2_PLACEMENT_3D('',#31954,#26112,#26113); +#20936=AXIS2_PLACEMENT_3D('',#31956,#26115,#26116); +#20937=AXIS2_PLACEMENT_3D('',#31960,#26119,#26120); +#20938=AXIS2_PLACEMENT_3D('',#31964,#26123,#26124); +#20939=AXIS2_PLACEMENT_3D('',#31966,#26126,#26127); +#20940=AXIS2_PLACEMENT_3D('',#31970,#26130,#26131); +#20941=AXIS2_PLACEMENT_3D('',#31972,#26133,#26134); +#20942=AXIS2_PLACEMENT_3D('',#31974,#26136,#26137); +#20943=AXIS2_PLACEMENT_3D('',#31978,#26140,#26141); +#20944=AXIS2_PLACEMENT_3D('',#31982,#26144,#26145); +#20945=AXIS2_PLACEMENT_3D('',#31986,#26148,#26149); +#20946=AXIS2_PLACEMENT_3D('',#31990,#26152,#26153); +#20947=AXIS2_PLACEMENT_3D('',#31994,#26156,#26157); +#20948=AXIS2_PLACEMENT_3D('',#31998,#26160,#26161); +#20949=AXIS2_PLACEMENT_3D('',#32002,#26164,#26165); +#20950=AXIS2_PLACEMENT_3D('',#32006,#26168,#26169); +#20951=AXIS2_PLACEMENT_3D('',#32010,#26172,#26173); +#20952=AXIS2_PLACEMENT_3D('',#32016,#26177,#26178); +#20953=AXIS2_PLACEMENT_3D('',#32020,#26181,#26182); +#20954=AXIS2_PLACEMENT_3D('',#32024,#26185,#26186); +#20955=AXIS2_PLACEMENT_3D('',#32028,#26189,#26190); +#20956=AXIS2_PLACEMENT_3D('',#32032,#26193,#26194); +#20957=AXIS2_PLACEMENT_3D('',#32036,#26197,#26198); +#20958=AXIS2_PLACEMENT_3D('',#32040,#26201,#26202); +#20959=AXIS2_PLACEMENT_3D('',#32044,#26205,#26206); +#20960=AXIS2_PLACEMENT_3D('',#32050,#26210,#26211); +#20961=AXIS2_PLACEMENT_3D('',#32051,#26212,#26213); +#20962=AXIS2_PLACEMENT_3D('',#32052,#26214,#26215); +#20963=AXIS2_PLACEMENT_3D('',#32053,#26216,#26217); +#20964=AXIS2_PLACEMENT_3D('',#32054,#26218,#26219); +#20965=AXIS2_PLACEMENT_3D('',#32060,#26223,#26224); +#20966=AXIS2_PLACEMENT_3D('',#32061,#26225,#26226); +#20967=AXIS2_PLACEMENT_3D('',#32062,#26227,#26228); +#20968=AXIS2_PLACEMENT_3D('',#32063,#26229,#26230); +#20969=AXIS2_PLACEMENT_3D('',#32064,#26231,#26232); +#20970=AXIS2_PLACEMENT_3D('',#32070,#26236,#26237); +#20971=AXIS2_PLACEMENT_3D('',#32071,#26238,#26239); +#20972=AXIS2_PLACEMENT_3D('',#32072,#26240,#26241); +#20973=AXIS2_PLACEMENT_3D('',#32073,#26242,#26243); +#20974=AXIS2_PLACEMENT_3D('',#32074,#26244,#26245); +#20975=AXIS2_PLACEMENT_3D('',#32080,#26249,#26250); +#20976=AXIS2_PLACEMENT_3D('',#32081,#26251,#26252); +#20977=AXIS2_PLACEMENT_3D('',#32082,#26253,#26254); +#20978=AXIS2_PLACEMENT_3D('',#32083,#26255,#26256); +#20979=AXIS2_PLACEMENT_3D('',#32084,#26257,#26258); +#20980=AXIS2_PLACEMENT_3D('',#32086,#26259,#26260); +#20981=AXIS2_PLACEMENT_3D('',#32088,#26261,#26262); +#20982=AXIS2_PLACEMENT_3D('',#32090,#26264,#26265); +#20983=AXIS2_PLACEMENT_3D('',#32093,#26268,#26269); +#20984=AXIS2_PLACEMENT_3D('',#32094,#26270,#26271); +#20985=AXIS2_PLACEMENT_3D('',#32100,#26275,#26276); +#20986=AXIS2_PLACEMENT_3D('',#32101,#26277,#26278); +#20987=AXIS2_PLACEMENT_3D('',#32102,#26279,#26280); +#20988=AXIS2_PLACEMENT_3D('',#32103,#26281,#26282); +#20989=AXIS2_PLACEMENT_3D('',#32104,#26283,#26284); +#20990=AXIS2_PLACEMENT_3D('',#32110,#26288,#26289); +#20991=AXIS2_PLACEMENT_3D('',#32111,#26290,#26291); +#20992=AXIS2_PLACEMENT_3D('',#32112,#26292,#26293); +#20993=AXIS2_PLACEMENT_3D('',#32113,#26294,#26295); +#20994=AXIS2_PLACEMENT_3D('',#32114,#26296,#26297); +#20995=AXIS2_PLACEMENT_3D('',#32120,#26301,#26302); +#20996=AXIS2_PLACEMENT_3D('',#32121,#26303,#26304); +#20997=AXIS2_PLACEMENT_3D('',#32122,#26305,#26306); +#20998=AXIS2_PLACEMENT_3D('',#32123,#26307,#26308); +#20999=AXIS2_PLACEMENT_3D('',#32124,#26309,#26310); +#21000=AXIS2_PLACEMENT_3D('',#32130,#26314,#26315); +#21001=AXIS2_PLACEMENT_3D('',#32131,#26316,#26317); +#21002=AXIS2_PLACEMENT_3D('',#32132,#26318,#26319); +#21003=AXIS2_PLACEMENT_3D('',#32133,#26320,#26321); +#21004=AXIS2_PLACEMENT_3D('',#32134,#26322,#26323); +#21005=AXIS2_PLACEMENT_3D('',#32135,#26324,#26325); +#21006=AXIS2_PLACEMENT_3D('',#32136,#26326,#26327); +#21007=AXIS2_PLACEMENT_3D('',#32137,#26328,#26329); +#21008=AXIS2_PLACEMENT_3D('',#32138,#26330,#26331); +#21009=AXIS2_PLACEMENT_3D('',#32144,#26335,#26336); +#21010=AXIS2_PLACEMENT_3D('',#32145,#26337,#26338); +#21011=AXIS2_PLACEMENT_3D('',#32146,#26339,#26340); +#21012=AXIS2_PLACEMENT_3D('',#32147,#26341,#26342); +#21013=AXIS2_PLACEMENT_3D('',#32148,#26343,#26344); +#21014=AXIS2_PLACEMENT_3D('',#32154,#26348,#26349); +#21015=AXIS2_PLACEMENT_3D('',#32155,#26350,#26351); +#21016=AXIS2_PLACEMENT_3D('',#32156,#26352,#26353); +#21017=AXIS2_PLACEMENT_3D('',#32157,#26354,#26355); +#21018=AXIS2_PLACEMENT_3D('',#32158,#26356,#26357); +#21019=AXIS2_PLACEMENT_3D('',#32166,#26362,#26363); +#21020=AXIS2_PLACEMENT_3D('',#32167,#26364,#26365); +#21021=AXIS2_PLACEMENT_3D('',#32168,#26366,#26367); +#21022=AXIS2_PLACEMENT_3D('',#32169,#26368,#26369); +#21023=AXIS2_PLACEMENT_3D('',#32170,#26370,#26371); +#21024=AXIS2_PLACEMENT_3D('',#32172,#26372,#26373); +#21025=AXIS2_PLACEMENT_3D('',#32174,#26374,#26375); +#21026=AXIS2_PLACEMENT_3D('',#32176,#26377,#26378); +#21027=AXIS2_PLACEMENT_3D('',#32179,#26381,#26382); +#21028=AXIS2_PLACEMENT_3D('',#32180,#26383,#26384); +#21029=AXIS2_PLACEMENT_3D('',#32186,#26388,#26389); +#21030=AXIS2_PLACEMENT_3D('',#32187,#26390,#26391); +#21031=AXIS2_PLACEMENT_3D('',#32188,#26392,#26393); +#21032=AXIS2_PLACEMENT_3D('',#32189,#26394,#26395); +#21033=AXIS2_PLACEMENT_3D('',#32190,#26396,#26397); +#21034=AXIS2_PLACEMENT_3D('',#32198,#26402,#26403); +#21035=AXIS2_PLACEMENT_3D('',#32199,#26404,#26405); +#21036=AXIS2_PLACEMENT_3D('',#32200,#26406,#26407); +#21037=AXIS2_PLACEMENT_3D('',#32201,#26408,#26409); +#21038=AXIS2_PLACEMENT_3D('',#32202,#26410,#26411); +#21039=AXIS2_PLACEMENT_3D('',#32207,#26416,#26417); +#21040=AXIS2_PLACEMENT_3D('',#32208,#26418,#26419); +#21041=AXIS2_PLACEMENT_3D('',#32209,#26420,#26421); +#21042=AXIS2_PLACEMENT_3D('',#32210,#26422,#26423); +#21043=AXIS2_PLACEMENT_3D('',#32211,#26424,#26425); +#21044=AXIS2_PLACEMENT_3D('',#32212,#26426,#26427); +#21045=AXIS2_PLACEMENT_3D('',#32213,#26428,#26429); +#21046=AXIS2_PLACEMENT_3D('',#32214,#26430,#26431); +#21047=AXIS2_PLACEMENT_3D('',#32215,#26432,#26433); +#21048=AXIS2_PLACEMENT_3D('',#32216,#26434,#26435); +#21049=AXIS2_PLACEMENT_3D('',#32217,#26436,#26437); +#21050=AXIS2_PLACEMENT_3D('',#32218,#26438,#26439); +#21051=AXIS2_PLACEMENT_3D('',#32219,#26440,#26441); +#21052=AXIS2_PLACEMENT_3D('',#32220,#26442,#26443); +#21053=AXIS2_PLACEMENT_3D('',#32221,#26444,#26445); +#21054=AXIS2_PLACEMENT_3D('',#32222,#26446,#26447); +#21055=AXIS2_PLACEMENT_3D('',#32223,#26448,#26449); +#21056=AXIS2_PLACEMENT_3D('',#32224,#26450,#26451); +#21057=AXIS2_PLACEMENT_3D('',#32225,#26452,#26453); +#21058=AXIS2_PLACEMENT_3D('',#32226,#26454,#26455); +#21059=AXIS2_PLACEMENT_3D('',#32227,#26456,#26457); +#21060=AXIS2_PLACEMENT_3D('',#32228,#26458,#26459); +#21061=AXIS2_PLACEMENT_3D('',#32229,#26460,#26461); +#21062=AXIS2_PLACEMENT_3D('',#32230,#26462,#26463); +#21063=AXIS2_PLACEMENT_3D('',#32231,#26464,#26465); +#21064=AXIS2_PLACEMENT_3D('',#32232,#26466,#26467); +#21065=AXIS2_PLACEMENT_3D('',#32233,#26468,#26469); +#21066=AXIS2_PLACEMENT_3D('',#32234,#26470,#26471); +#21067=AXIS2_PLACEMENT_3D('',#32235,#26472,#26473); +#21068=AXIS2_PLACEMENT_3D('',#32236,#26474,#26475); +#21069=AXIS2_PLACEMENT_3D('',#32237,#26476,#26477); +#21070=AXIS2_PLACEMENT_3D('',#32238,#26478,#26479); +#21071=AXIS2_PLACEMENT_3D('',#32239,#26480,#26481); +#21072=AXIS2_PLACEMENT_3D('',#32240,#26482,#26483); +#21073=AXIS2_PLACEMENT_3D('',#32241,#26484,#26485); +#21074=AXIS2_PLACEMENT_3D('',#32242,#26486,#26487); +#21075=AXIS2_PLACEMENT_3D('',#32243,#26488,#26489); +#21076=AXIS2_PLACEMENT_3D('',#32244,#26490,#26491); +#21077=AXIS2_PLACEMENT_3D('',#32245,#26492,#26493); +#21078=DIRECTION('axis',(0.,0.,1.)); +#21079=DIRECTION('refdir',(1.,0.,0.)); +#21080=DIRECTION('axis',(0.,0.,1.)); +#21081=DIRECTION('refdir',(1.,0.,0.)); +#21082=DIRECTION('center_axis',(0.,-1.,0.)); +#21083=DIRECTION('ref_axis',(0.,0.,-1.)); +#21084=DIRECTION('',(1.,0.,0.)); +#21085=DIRECTION('',(0.,0.,1.)); +#21086=DIRECTION('',(-1.,0.,0.)); +#21087=DIRECTION('',(0.,0.,-1.)); +#21088=DIRECTION('',(0.,0.,1.)); +#21089=DIRECTION('',(1.,0.,0.)); +#21090=DIRECTION('',(0.,0.,-1.)); +#21091=DIRECTION('',(-1.,0.,0.)); +#21092=DIRECTION('',(0.,0.,1.)); +#21093=DIRECTION('',(1.,0.,0.)); +#21094=DIRECTION('',(0.,0.,-1.)); +#21095=DIRECTION('',(-1.,0.,0.)); +#21096=DIRECTION('',(0.,0.,1.)); +#21097=DIRECTION('',(1.,0.,0.)); +#21098=DIRECTION('',(0.,0.,-1.)); +#21099=DIRECTION('',(-1.,0.,0.)); +#21100=DIRECTION('',(0.,0.,1.)); +#21101=DIRECTION('',(1.,0.,0.)); +#21102=DIRECTION('',(0.,0.,-1.)); +#21103=DIRECTION('',(-1.,0.,0.)); +#21104=DIRECTION('',(0.,0.,1.)); +#21105=DIRECTION('',(1.,0.,0.)); +#21106=DIRECTION('',(0.,0.,-1.)); +#21107=DIRECTION('',(-1.,0.,0.)); +#21108=DIRECTION('',(0.,0.,1.)); +#21109=DIRECTION('',(1.,0.,0.)); +#21110=DIRECTION('',(0.,0.,-1.)); +#21111=DIRECTION('',(-1.,0.,0.)); +#21112=DIRECTION('',(0.,0.,1.)); +#21113=DIRECTION('',(1.,0.,0.)); +#21114=DIRECTION('',(0.,0.,-1.)); +#21115=DIRECTION('',(-1.,0.,0.)); +#21116=DIRECTION('center_axis',(1.,0.,0.)); +#21117=DIRECTION('ref_axis',(0.,0.,-1.)); +#21118=DIRECTION('',(0.,1.,0.)); +#21119=DIRECTION('',(0.,0.,1.)); +#21120=DIRECTION('',(0.,-1.,0.)); +#21121=DIRECTION('',(0.,0.,1.)); +#21122=DIRECTION('',(0.,1.,0.)); +#21123=DIRECTION('',(0.,0.,-1.)); +#21124=DIRECTION('',(0.,-1.,0.)); +#21125=DIRECTION('',(0.,0.,1.)); +#21126=DIRECTION('',(0.,1.,0.)); +#21127=DIRECTION('',(0.,0.,-1.)); +#21128=DIRECTION('',(0.,-1.,0.)); +#21129=DIRECTION('',(0.,0.,1.)); +#21130=DIRECTION('',(0.,1.,0.)); +#21131=DIRECTION('',(0.,0.,-1.)); +#21132=DIRECTION('',(0.,-1.,0.)); +#21133=DIRECTION('',(0.,0.,1.)); +#21134=DIRECTION('',(0.,1.,0.)); +#21135=DIRECTION('',(0.,0.,-1.)); +#21136=DIRECTION('',(0.,-1.,0.)); +#21137=DIRECTION('',(0.,0.,1.)); +#21138=DIRECTION('',(0.,1.,0.)); +#21139=DIRECTION('',(0.,0.,-1.)); +#21140=DIRECTION('',(0.,-1.,0.)); +#21141=DIRECTION('',(0.,0.,1.)); +#21142=DIRECTION('',(0.,1.,0.)); +#21143=DIRECTION('',(0.,0.,-1.)); +#21144=DIRECTION('',(0.,-1.,0.)); +#21145=DIRECTION('',(0.,0.,1.)); +#21146=DIRECTION('',(0.,1.,0.)); +#21147=DIRECTION('',(0.,0.,-1.)); +#21148=DIRECTION('',(0.,-1.,0.)); +#21149=DIRECTION('center_axis',(0.,1.,0.)); +#21150=DIRECTION('ref_axis',(0.,0.,1.)); +#21151=DIRECTION('',(-1.,0.,0.)); +#21152=DIRECTION('',(0.,0.,1.)); +#21153=DIRECTION('',(1.,0.,0.)); +#21154=DIRECTION('',(0.,0.,1.)); +#21155=DIRECTION('',(-1.,0.,0.)); +#21156=DIRECTION('',(0.,0.,-1.)); +#21157=DIRECTION('',(1.,0.,0.)); +#21158=DIRECTION('',(0.,0.,1.)); +#21159=DIRECTION('',(-1.,0.,0.)); +#21160=DIRECTION('',(0.,0.,-1.)); +#21161=DIRECTION('',(1.,0.,0.)); +#21162=DIRECTION('',(0.,0.,1.)); +#21163=DIRECTION('',(-1.,0.,0.)); +#21164=DIRECTION('',(0.,0.,-1.)); +#21165=DIRECTION('',(1.,0.,0.)); +#21166=DIRECTION('',(0.,0.,1.)); +#21167=DIRECTION('',(-1.,0.,0.)); +#21168=DIRECTION('',(0.,0.,-1.)); +#21169=DIRECTION('',(1.,0.,0.)); +#21170=DIRECTION('',(0.,0.,1.)); +#21171=DIRECTION('',(-1.,0.,0.)); +#21172=DIRECTION('',(0.,0.,-1.)); +#21173=DIRECTION('',(1.,0.,0.)); +#21174=DIRECTION('',(0.,0.,1.)); +#21175=DIRECTION('',(-1.,0.,0.)); +#21176=DIRECTION('',(0.,0.,-1.)); +#21177=DIRECTION('',(1.,0.,0.)); +#21178=DIRECTION('',(0.,0.,1.)); +#21179=DIRECTION('',(-1.,0.,0.)); +#21180=DIRECTION('',(0.,0.,-1.)); +#21181=DIRECTION('',(1.,0.,0.)); +#21182=DIRECTION('center_axis',(-1.,0.,0.)); +#21183=DIRECTION('ref_axis',(0.,0.,1.)); +#21184=DIRECTION('',(0.,-1.,0.)); +#21185=DIRECTION('',(0.,1.,0.)); +#21186=DIRECTION('',(0.,0.,1.)); +#21187=DIRECTION('',(0.,-1.,0.)); +#21188=DIRECTION('',(0.,0.,-1.)); +#21189=DIRECTION('',(0.,1.,0.)); +#21190=DIRECTION('',(0.,0.,1.)); +#21191=DIRECTION('',(0.,-1.,0.)); +#21192=DIRECTION('',(0.,0.,-1.)); +#21193=DIRECTION('',(0.,1.,0.)); +#21194=DIRECTION('',(0.,0.,1.)); +#21195=DIRECTION('',(0.,-1.,0.)); +#21196=DIRECTION('',(0.,0.,-1.)); +#21197=DIRECTION('',(0.,1.,0.)); +#21198=DIRECTION('',(0.,0.,1.)); +#21199=DIRECTION('',(0.,-1.,0.)); +#21200=DIRECTION('',(0.,0.,-1.)); +#21201=DIRECTION('',(0.,1.,0.)); +#21202=DIRECTION('',(0.,0.,1.)); +#21203=DIRECTION('',(0.,-1.,0.)); +#21204=DIRECTION('',(0.,0.,-1.)); +#21205=DIRECTION('',(0.,1.,0.)); +#21206=DIRECTION('',(0.,0.,1.)); +#21207=DIRECTION('',(0.,-1.,0.)); +#21208=DIRECTION('',(0.,0.,-1.)); +#21209=DIRECTION('',(0.,1.,0.)); +#21210=DIRECTION('',(0.,0.,1.)); +#21211=DIRECTION('',(0.,-1.,0.)); +#21212=DIRECTION('',(0.,0.,-1.)); +#21213=DIRECTION('',(0.,1.,0.)); +#21214=DIRECTION('center_axis',(0.,0.,-1.)); +#21215=DIRECTION('ref_axis',(-1.,0.,0.)); +#21216=DIRECTION('center_axis',(0.,0.,1.)); +#21217=DIRECTION('ref_axis',(1.,0.,0.)); +#21218=DIRECTION('center_axis',(0.,0.,1.)); +#21219=DIRECTION('ref_axis',(1.,0.,0.)); +#21220=DIRECTION('center_axis',(0.,1.,0.)); +#21221=DIRECTION('ref_axis',(-1.,0.,0.)); +#21222=DIRECTION('center_axis',(-3.91173022364604E-5,0.999999999234918, +3.73715065108949E-9)); +#21223=DIRECTION('ref_axis',(-0.999999999234918,-3.91173022357998E-5,3.72427197253996E-16)); +#21224=DIRECTION('center_axis',(1.,0.,0.)); +#21225=DIRECTION('ref_axis',(0.,0.,-1.)); +#21226=DIRECTION('',(0.,1.,0.)); +#21227=DIRECTION('',(0.,0.,1.)); +#21228=DIRECTION('',(0.,-1.,0.)); +#21229=DIRECTION('center_axis',(0.,0.,-1.)); +#21230=DIRECTION('ref_axis',(-1.,0.,0.)); +#21231=DIRECTION('',(1.,0.,0.)); +#21232=DIRECTION('',(0.,-1.,0.)); +#21233=DIRECTION('center_axis',(-1.,0.,0.)); +#21234=DIRECTION('ref_axis',(0.,0.,1.)); +#21235=DIRECTION('',(0.,0.,-1.)); +#21236=DIRECTION('',(0.,-1.,0.)); +#21237=DIRECTION('center_axis',(0.,0.,1.)); +#21238=DIRECTION('ref_axis',(1.,0.,0.)); +#21239=DIRECTION('',(-1.,0.,0.)); +#21240=DIRECTION('center_axis',(0.,-1.,0.)); +#21241=DIRECTION('ref_axis',(0.,0.,-1.)); +#21242=DIRECTION('center_axis',(1.,0.,0.)); +#21243=DIRECTION('ref_axis',(0.,0.,-1.)); +#21244=DIRECTION('',(0.,1.,0.)); +#21245=DIRECTION('',(0.,0.,1.)); +#21246=DIRECTION('',(0.,-1.,0.)); +#21247=DIRECTION('center_axis',(0.,0.,-1.)); +#21248=DIRECTION('ref_axis',(-1.,0.,0.)); +#21249=DIRECTION('',(1.,0.,0.)); +#21250=DIRECTION('',(0.,-1.,0.)); +#21251=DIRECTION('center_axis',(-1.,0.,0.)); +#21252=DIRECTION('ref_axis',(0.,0.,1.)); +#21253=DIRECTION('',(0.,0.,-1.)); +#21254=DIRECTION('',(0.,-1.,0.)); +#21255=DIRECTION('center_axis',(0.,0.,1.)); +#21256=DIRECTION('ref_axis',(1.,0.,0.)); +#21257=DIRECTION('',(-1.,0.,0.)); +#21258=DIRECTION('center_axis',(0.,-1.,0.)); +#21259=DIRECTION('ref_axis',(0.,0.,-1.)); +#21260=DIRECTION('center_axis',(1.,0.,0.)); +#21261=DIRECTION('ref_axis',(0.,0.,-1.)); +#21262=DIRECTION('',(0.,1.,0.)); +#21263=DIRECTION('',(0.,0.,1.)); +#21264=DIRECTION('',(0.,-1.,0.)); +#21265=DIRECTION('center_axis',(0.,0.,-1.)); +#21266=DIRECTION('ref_axis',(-1.,0.,0.)); +#21267=DIRECTION('',(1.,0.,0.)); +#21268=DIRECTION('',(0.,-1.,0.)); +#21269=DIRECTION('center_axis',(-1.,0.,0.)); +#21270=DIRECTION('ref_axis',(0.,0.,1.)); +#21271=DIRECTION('',(0.,0.,-1.)); +#21272=DIRECTION('',(0.,-1.,0.)); +#21273=DIRECTION('center_axis',(0.,0.,1.)); +#21274=DIRECTION('ref_axis',(1.,0.,0.)); +#21275=DIRECTION('',(-1.,0.,0.)); +#21276=DIRECTION('center_axis',(0.,-1.,0.)); +#21277=DIRECTION('ref_axis',(0.,0.,-1.)); +#21278=DIRECTION('center_axis',(1.,0.,0.)); +#21279=DIRECTION('ref_axis',(0.,0.,-1.)); +#21280=DIRECTION('',(0.,1.,0.)); +#21281=DIRECTION('',(0.,0.,1.)); +#21282=DIRECTION('',(0.,-1.,0.)); +#21283=DIRECTION('center_axis',(0.,0.,-1.)); +#21284=DIRECTION('ref_axis',(-1.,0.,0.)); +#21285=DIRECTION('',(1.,0.,0.)); +#21286=DIRECTION('',(0.,-1.,0.)); +#21287=DIRECTION('center_axis',(-1.,0.,0.)); +#21288=DIRECTION('ref_axis',(0.,0.,1.)); +#21289=DIRECTION('',(0.,0.,-1.)); +#21290=DIRECTION('',(0.,-1.,0.)); +#21291=DIRECTION('center_axis',(0.,0.,1.)); +#21292=DIRECTION('ref_axis',(1.,0.,0.)); +#21293=DIRECTION('',(-1.,0.,0.)); +#21294=DIRECTION('center_axis',(0.,-1.,0.)); +#21295=DIRECTION('ref_axis',(0.,0.,-1.)); +#21296=DIRECTION('center_axis',(1.,0.,0.)); +#21297=DIRECTION('ref_axis',(0.,0.,-1.)); +#21298=DIRECTION('',(0.,1.,0.)); +#21299=DIRECTION('',(0.,0.,1.)); +#21300=DIRECTION('',(0.,-1.,0.)); +#21301=DIRECTION('center_axis',(0.,0.,-1.)); +#21302=DIRECTION('ref_axis',(-1.,0.,0.)); +#21303=DIRECTION('',(1.,0.,0.)); +#21304=DIRECTION('',(0.,-1.,0.)); +#21305=DIRECTION('center_axis',(-1.,0.,0.)); +#21306=DIRECTION('ref_axis',(0.,0.,1.)); +#21307=DIRECTION('',(0.,0.,-1.)); +#21308=DIRECTION('',(0.,-1.,0.)); +#21309=DIRECTION('center_axis',(0.,0.,1.)); +#21310=DIRECTION('ref_axis',(1.,0.,0.)); +#21311=DIRECTION('',(-1.,0.,0.)); +#21312=DIRECTION('center_axis',(0.,-1.,0.)); +#21313=DIRECTION('ref_axis',(0.,0.,-1.)); +#21314=DIRECTION('center_axis',(1.,0.,0.)); +#21315=DIRECTION('ref_axis',(0.,0.,-1.)); +#21316=DIRECTION('',(0.,1.,0.)); +#21317=DIRECTION('',(0.,0.,1.)); +#21318=DIRECTION('',(0.,-1.,0.)); +#21319=DIRECTION('center_axis',(0.,0.,-1.)); +#21320=DIRECTION('ref_axis',(-1.,0.,0.)); +#21321=DIRECTION('',(1.,0.,0.)); +#21322=DIRECTION('',(0.,-1.,0.)); +#21323=DIRECTION('center_axis',(-1.,0.,0.)); +#21324=DIRECTION('ref_axis',(0.,0.,1.)); +#21325=DIRECTION('',(0.,0.,-1.)); +#21326=DIRECTION('',(0.,-1.,0.)); +#21327=DIRECTION('center_axis',(0.,0.,1.)); +#21328=DIRECTION('ref_axis',(1.,0.,0.)); +#21329=DIRECTION('',(-1.,0.,0.)); +#21330=DIRECTION('center_axis',(0.,-1.,0.)); +#21331=DIRECTION('ref_axis',(0.,0.,-1.)); +#21332=DIRECTION('center_axis',(1.,0.,0.)); +#21333=DIRECTION('ref_axis',(0.,0.,-1.)); +#21334=DIRECTION('',(0.,1.,0.)); +#21335=DIRECTION('',(0.,0.,1.)); +#21336=DIRECTION('',(0.,-1.,0.)); +#21337=DIRECTION('center_axis',(0.,0.,-1.)); +#21338=DIRECTION('ref_axis',(-1.,0.,0.)); +#21339=DIRECTION('',(1.,0.,0.)); +#21340=DIRECTION('',(0.,-1.,0.)); +#21341=DIRECTION('center_axis',(-1.,0.,0.)); +#21342=DIRECTION('ref_axis',(0.,0.,1.)); +#21343=DIRECTION('',(0.,0.,-1.)); +#21344=DIRECTION('',(0.,-1.,0.)); +#21345=DIRECTION('center_axis',(0.,0.,1.)); +#21346=DIRECTION('ref_axis',(1.,0.,0.)); +#21347=DIRECTION('',(-1.,0.,0.)); +#21348=DIRECTION('center_axis',(0.,-1.,0.)); +#21349=DIRECTION('ref_axis',(0.,0.,-1.)); +#21350=DIRECTION('center_axis',(0.,1.,0.)); +#21351=DIRECTION('ref_axis',(0.,0.,1.)); +#21352=DIRECTION('',(-1.,0.,0.)); +#21353=DIRECTION('',(0.,0.,1.)); +#21354=DIRECTION('',(1.,0.,0.)); +#21355=DIRECTION('center_axis',(0.,0.,-1.)); +#21356=DIRECTION('ref_axis',(-1.,0.,0.)); +#21357=DIRECTION('',(0.,1.,0.)); +#21358=DIRECTION('',(1.,0.,0.)); +#21359=DIRECTION('center_axis',(0.,-1.,0.)); +#21360=DIRECTION('ref_axis',(0.,0.,-1.)); +#21361=DIRECTION('',(0.,0.,-1.)); +#21362=DIRECTION('',(1.,0.,0.)); +#21363=DIRECTION('center_axis',(0.,0.,1.)); +#21364=DIRECTION('ref_axis',(1.,0.,0.)); +#21365=DIRECTION('',(0.,-1.,0.)); +#21366=DIRECTION('center_axis',(1.,0.,0.)); +#21367=DIRECTION('ref_axis',(0.,0.,-1.)); +#21368=DIRECTION('center_axis',(0.,1.,0.)); +#21369=DIRECTION('ref_axis',(0.,0.,1.)); +#21370=DIRECTION('',(-1.,0.,0.)); +#21371=DIRECTION('',(0.,0.,1.)); +#21372=DIRECTION('',(1.,0.,0.)); +#21373=DIRECTION('center_axis',(0.,0.,-1.)); +#21374=DIRECTION('ref_axis',(-1.,0.,0.)); +#21375=DIRECTION('',(0.,1.,0.)); +#21376=DIRECTION('',(1.,0.,0.)); +#21377=DIRECTION('center_axis',(0.,-1.,0.)); +#21378=DIRECTION('ref_axis',(0.,0.,-1.)); +#21379=DIRECTION('',(0.,0.,-1.)); +#21380=DIRECTION('',(1.,0.,0.)); +#21381=DIRECTION('center_axis',(0.,0.,1.)); +#21382=DIRECTION('ref_axis',(1.,0.,0.)); +#21383=DIRECTION('',(0.,-1.,0.)); +#21384=DIRECTION('center_axis',(1.,0.,0.)); +#21385=DIRECTION('ref_axis',(0.,0.,-1.)); +#21386=DIRECTION('center_axis',(0.,1.,0.)); +#21387=DIRECTION('ref_axis',(0.,0.,1.)); +#21388=DIRECTION('',(-1.,0.,0.)); +#21389=DIRECTION('',(0.,0.,1.)); +#21390=DIRECTION('',(1.,0.,0.)); +#21391=DIRECTION('center_axis',(0.,0.,-1.)); +#21392=DIRECTION('ref_axis',(-1.,0.,0.)); +#21393=DIRECTION('',(0.,1.,0.)); +#21394=DIRECTION('',(1.,0.,0.)); +#21395=DIRECTION('center_axis',(0.,-1.,0.)); +#21396=DIRECTION('ref_axis',(0.,0.,-1.)); +#21397=DIRECTION('',(0.,0.,-1.)); +#21398=DIRECTION('',(1.,0.,0.)); +#21399=DIRECTION('center_axis',(0.,0.,1.)); +#21400=DIRECTION('ref_axis',(1.,0.,0.)); +#21401=DIRECTION('',(0.,-1.,0.)); +#21402=DIRECTION('center_axis',(1.,0.,0.)); +#21403=DIRECTION('ref_axis',(0.,0.,-1.)); +#21404=DIRECTION('center_axis',(0.,1.,0.)); +#21405=DIRECTION('ref_axis',(0.,0.,1.)); +#21406=DIRECTION('',(-1.,0.,0.)); +#21407=DIRECTION('',(0.,0.,1.)); +#21408=DIRECTION('',(1.,0.,0.)); +#21409=DIRECTION('center_axis',(0.,0.,-1.)); +#21410=DIRECTION('ref_axis',(-1.,0.,0.)); +#21411=DIRECTION('',(0.,1.,0.)); +#21412=DIRECTION('',(1.,0.,0.)); +#21413=DIRECTION('center_axis',(0.,-1.,0.)); +#21414=DIRECTION('ref_axis',(0.,0.,-1.)); +#21415=DIRECTION('',(0.,0.,-1.)); +#21416=DIRECTION('',(1.,0.,0.)); +#21417=DIRECTION('center_axis',(0.,0.,1.)); +#21418=DIRECTION('ref_axis',(1.,0.,0.)); +#21419=DIRECTION('',(0.,-1.,0.)); +#21420=DIRECTION('center_axis',(1.,0.,0.)); +#21421=DIRECTION('ref_axis',(0.,0.,-1.)); +#21422=DIRECTION('center_axis',(0.,1.,0.)); +#21423=DIRECTION('ref_axis',(0.,0.,1.)); +#21424=DIRECTION('',(-1.,0.,0.)); +#21425=DIRECTION('',(0.,0.,1.)); +#21426=DIRECTION('',(1.,0.,0.)); +#21427=DIRECTION('center_axis',(0.,0.,-1.)); +#21428=DIRECTION('ref_axis',(-1.,0.,0.)); +#21429=DIRECTION('',(0.,1.,0.)); +#21430=DIRECTION('',(1.,0.,0.)); +#21431=DIRECTION('center_axis',(0.,-1.,0.)); +#21432=DIRECTION('ref_axis',(0.,0.,-1.)); +#21433=DIRECTION('',(0.,0.,-1.)); +#21434=DIRECTION('',(1.,0.,0.)); +#21435=DIRECTION('center_axis',(0.,0.,1.)); +#21436=DIRECTION('ref_axis',(1.,0.,0.)); +#21437=DIRECTION('',(0.,-1.,0.)); +#21438=DIRECTION('center_axis',(1.,0.,0.)); +#21439=DIRECTION('ref_axis',(0.,0.,-1.)); +#21440=DIRECTION('center_axis',(0.,1.,0.)); +#21441=DIRECTION('ref_axis',(0.,0.,1.)); +#21442=DIRECTION('',(-1.,0.,0.)); +#21443=DIRECTION('',(0.,0.,1.)); +#21444=DIRECTION('',(1.,0.,0.)); +#21445=DIRECTION('center_axis',(0.,0.,-1.)); +#21446=DIRECTION('ref_axis',(-1.,0.,0.)); +#21447=DIRECTION('',(0.,1.,0.)); +#21448=DIRECTION('',(1.,0.,0.)); +#21449=DIRECTION('center_axis',(0.,-1.,0.)); +#21450=DIRECTION('ref_axis',(0.,0.,-1.)); +#21451=DIRECTION('',(0.,0.,-1.)); +#21452=DIRECTION('',(1.,0.,0.)); +#21453=DIRECTION('center_axis',(0.,0.,1.)); +#21454=DIRECTION('ref_axis',(1.,0.,0.)); +#21455=DIRECTION('',(0.,-1.,0.)); +#21456=DIRECTION('center_axis',(1.,0.,0.)); +#21457=DIRECTION('ref_axis',(0.,0.,-1.)); +#21458=DIRECTION('center_axis',(0.,1.,0.)); +#21459=DIRECTION('ref_axis',(0.,0.,1.)); +#21460=DIRECTION('',(-1.,0.,0.)); +#21461=DIRECTION('',(0.,0.,1.)); +#21462=DIRECTION('',(1.,0.,0.)); +#21463=DIRECTION('center_axis',(0.,0.,-1.)); +#21464=DIRECTION('ref_axis',(-1.,0.,0.)); +#21465=DIRECTION('',(0.,1.,0.)); +#21466=DIRECTION('',(1.,0.,0.)); +#21467=DIRECTION('center_axis',(0.,-1.,0.)); +#21468=DIRECTION('ref_axis',(0.,0.,-1.)); +#21469=DIRECTION('',(0.,0.,-1.)); +#21470=DIRECTION('',(1.,0.,0.)); +#21471=DIRECTION('center_axis',(0.,0.,1.)); +#21472=DIRECTION('ref_axis',(1.,0.,0.)); +#21473=DIRECTION('',(0.,-1.,0.)); +#21474=DIRECTION('center_axis',(1.,0.,0.)); +#21475=DIRECTION('ref_axis',(0.,0.,-1.)); +#21476=DIRECTION('center_axis',(0.,-1.,0.)); +#21477=DIRECTION('ref_axis',(0.,0.,-1.)); +#21478=DIRECTION('',(1.,0.,0.)); +#21479=DIRECTION('',(0.,0.,1.)); +#21480=DIRECTION('',(-1.,0.,0.)); +#21481=DIRECTION('center_axis',(0.,0.,-1.)); +#21482=DIRECTION('ref_axis',(-1.,0.,0.)); +#21483=DIRECTION('',(0.,-1.,0.)); +#21484=DIRECTION('',(-1.,0.,0.)); +#21485=DIRECTION('center_axis',(0.,1.,0.)); +#21486=DIRECTION('ref_axis',(0.,0.,1.)); +#21487=DIRECTION('',(0.,0.,-1.)); +#21488=DIRECTION('',(-1.,0.,0.)); +#21489=DIRECTION('center_axis',(0.,0.,1.)); +#21490=DIRECTION('ref_axis',(1.,0.,0.)); +#21491=DIRECTION('',(0.,1.,0.)); +#21492=DIRECTION('center_axis',(-1.,0.,0.)); +#21493=DIRECTION('ref_axis',(0.,0.,1.)); +#21494=DIRECTION('center_axis',(0.,-1.,0.)); +#21495=DIRECTION('ref_axis',(0.,0.,-1.)); +#21496=DIRECTION('',(1.,0.,0.)); +#21497=DIRECTION('',(0.,0.,1.)); +#21498=DIRECTION('',(-1.,0.,0.)); +#21499=DIRECTION('center_axis',(0.,0.,-1.)); +#21500=DIRECTION('ref_axis',(-1.,0.,0.)); +#21501=DIRECTION('',(0.,-1.,0.)); +#21502=DIRECTION('',(-1.,0.,0.)); +#21503=DIRECTION('center_axis',(0.,1.,0.)); +#21504=DIRECTION('ref_axis',(0.,0.,1.)); +#21505=DIRECTION('',(0.,0.,-1.)); +#21506=DIRECTION('',(-1.,0.,0.)); +#21507=DIRECTION('center_axis',(0.,0.,1.)); +#21508=DIRECTION('ref_axis',(1.,0.,0.)); +#21509=DIRECTION('',(0.,1.,0.)); +#21510=DIRECTION('center_axis',(-1.,0.,0.)); +#21511=DIRECTION('ref_axis',(0.,0.,1.)); +#21512=DIRECTION('center_axis',(0.,-1.,0.)); +#21513=DIRECTION('ref_axis',(0.,0.,-1.)); +#21514=DIRECTION('',(1.,0.,0.)); +#21515=DIRECTION('',(0.,0.,1.)); +#21516=DIRECTION('',(-1.,0.,0.)); +#21517=DIRECTION('center_axis',(0.,0.,-1.)); +#21518=DIRECTION('ref_axis',(-1.,0.,0.)); +#21519=DIRECTION('',(0.,-1.,0.)); +#21520=DIRECTION('',(-1.,0.,0.)); +#21521=DIRECTION('center_axis',(0.,1.,0.)); +#21522=DIRECTION('ref_axis',(0.,0.,1.)); +#21523=DIRECTION('',(0.,0.,-1.)); +#21524=DIRECTION('',(-1.,0.,0.)); +#21525=DIRECTION('center_axis',(0.,0.,1.)); +#21526=DIRECTION('ref_axis',(1.,0.,0.)); +#21527=DIRECTION('',(0.,1.,0.)); +#21528=DIRECTION('center_axis',(-1.,0.,0.)); +#21529=DIRECTION('ref_axis',(0.,0.,1.)); +#21530=DIRECTION('center_axis',(0.,-1.,0.)); +#21531=DIRECTION('ref_axis',(0.,0.,-1.)); +#21532=DIRECTION('',(1.,0.,0.)); +#21533=DIRECTION('',(0.,0.,1.)); +#21534=DIRECTION('',(-1.,0.,0.)); +#21535=DIRECTION('center_axis',(0.,0.,-1.)); +#21536=DIRECTION('ref_axis',(-1.,0.,0.)); +#21537=DIRECTION('',(0.,-1.,0.)); +#21538=DIRECTION('',(-1.,0.,0.)); +#21539=DIRECTION('center_axis',(0.,1.,0.)); +#21540=DIRECTION('ref_axis',(0.,0.,1.)); +#21541=DIRECTION('',(0.,0.,-1.)); +#21542=DIRECTION('',(-1.,0.,0.)); +#21543=DIRECTION('center_axis',(0.,0.,1.)); +#21544=DIRECTION('ref_axis',(1.,0.,0.)); +#21545=DIRECTION('',(0.,1.,0.)); +#21546=DIRECTION('center_axis',(-1.,0.,0.)); +#21547=DIRECTION('ref_axis',(0.,0.,1.)); +#21548=DIRECTION('center_axis',(0.,-1.,0.)); +#21549=DIRECTION('ref_axis',(0.,0.,-1.)); +#21550=DIRECTION('',(1.,0.,0.)); +#21551=DIRECTION('',(0.,0.,1.)); +#21552=DIRECTION('',(-1.,0.,0.)); +#21553=DIRECTION('center_axis',(0.,0.,-1.)); +#21554=DIRECTION('ref_axis',(-1.,0.,0.)); +#21555=DIRECTION('',(0.,-1.,0.)); +#21556=DIRECTION('',(-1.,0.,0.)); +#21557=DIRECTION('center_axis',(0.,1.,0.)); +#21558=DIRECTION('ref_axis',(0.,0.,1.)); +#21559=DIRECTION('',(0.,0.,-1.)); +#21560=DIRECTION('',(-1.,0.,0.)); +#21561=DIRECTION('center_axis',(0.,0.,1.)); +#21562=DIRECTION('ref_axis',(1.,0.,0.)); +#21563=DIRECTION('',(0.,1.,0.)); +#21564=DIRECTION('center_axis',(-1.,0.,0.)); +#21565=DIRECTION('ref_axis',(0.,0.,1.)); +#21566=DIRECTION('center_axis',(0.,-1.,0.)); +#21567=DIRECTION('ref_axis',(0.,0.,-1.)); +#21568=DIRECTION('',(1.,0.,0.)); +#21569=DIRECTION('',(0.,0.,1.)); +#21570=DIRECTION('',(-1.,0.,0.)); +#21571=DIRECTION('center_axis',(0.,0.,-1.)); +#21572=DIRECTION('ref_axis',(-1.,0.,0.)); +#21573=DIRECTION('',(0.,-1.,0.)); +#21574=DIRECTION('',(-1.,0.,0.)); +#21575=DIRECTION('center_axis',(0.,1.,0.)); +#21576=DIRECTION('ref_axis',(0.,0.,1.)); +#21577=DIRECTION('',(0.,0.,-1.)); +#21578=DIRECTION('',(-1.,0.,0.)); +#21579=DIRECTION('center_axis',(0.,0.,1.)); +#21580=DIRECTION('ref_axis',(1.,0.,0.)); +#21581=DIRECTION('',(0.,1.,0.)); +#21582=DIRECTION('center_axis',(-1.,0.,0.)); +#21583=DIRECTION('ref_axis',(0.,0.,1.)); +#21584=DIRECTION('center_axis',(0.,-1.,0.)); +#21585=DIRECTION('ref_axis',(0.,0.,-1.)); +#21586=DIRECTION('',(1.,0.,0.)); +#21587=DIRECTION('',(0.,0.,1.)); +#21588=DIRECTION('',(-1.,0.,0.)); +#21589=DIRECTION('center_axis',(0.,0.,-1.)); +#21590=DIRECTION('ref_axis',(-1.,0.,0.)); +#21591=DIRECTION('',(0.,-1.,0.)); +#21592=DIRECTION('',(-1.,0.,0.)); +#21593=DIRECTION('center_axis',(0.,1.,0.)); +#21594=DIRECTION('ref_axis',(0.,0.,1.)); +#21595=DIRECTION('',(0.,0.,-1.)); +#21596=DIRECTION('',(-1.,0.,0.)); +#21597=DIRECTION('center_axis',(0.,0.,1.)); +#21598=DIRECTION('ref_axis',(1.,0.,0.)); +#21599=DIRECTION('',(0.,1.,0.)); +#21600=DIRECTION('center_axis',(-1.,0.,0.)); +#21601=DIRECTION('ref_axis',(0.,0.,1.)); +#21602=DIRECTION('center_axis',(-1.,0.,0.)); +#21603=DIRECTION('ref_axis',(0.,0.,1.)); +#21604=DIRECTION('',(0.,-1.,0.)); +#21605=DIRECTION('',(0.,0.,1.)); +#21606=DIRECTION('',(0.,1.,0.)); +#21607=DIRECTION('center_axis',(0.,0.,-1.)); +#21608=DIRECTION('ref_axis',(-1.,0.,0.)); +#21609=DIRECTION('',(-1.,0.,0.)); +#21610=DIRECTION('',(0.,1.,0.)); +#21611=DIRECTION('center_axis',(1.,0.,0.)); +#21612=DIRECTION('ref_axis',(0.,0.,-1.)); +#21613=DIRECTION('',(0.,0.,-1.)); +#21614=DIRECTION('',(0.,1.,0.)); +#21615=DIRECTION('center_axis',(0.,0.,1.)); +#21616=DIRECTION('ref_axis',(1.,0.,0.)); +#21617=DIRECTION('',(1.,0.,0.)); +#21618=DIRECTION('center_axis',(0.,1.,0.)); +#21619=DIRECTION('ref_axis',(0.,0.,1.)); +#21620=DIRECTION('center_axis',(-1.,0.,0.)); +#21621=DIRECTION('ref_axis',(0.,0.,1.)); +#21622=DIRECTION('',(0.,-1.,0.)); +#21623=DIRECTION('',(0.,0.,1.)); +#21624=DIRECTION('',(0.,1.,0.)); +#21625=DIRECTION('center_axis',(0.,0.,-1.)); +#21626=DIRECTION('ref_axis',(-1.,0.,0.)); +#21627=DIRECTION('',(-1.,0.,0.)); +#21628=DIRECTION('',(0.,1.,0.)); +#21629=DIRECTION('center_axis',(1.,0.,0.)); +#21630=DIRECTION('ref_axis',(0.,0.,-1.)); +#21631=DIRECTION('',(0.,0.,-1.)); +#21632=DIRECTION('',(0.,1.,0.)); +#21633=DIRECTION('center_axis',(0.,0.,1.)); +#21634=DIRECTION('ref_axis',(1.,0.,0.)); +#21635=DIRECTION('',(1.,0.,0.)); +#21636=DIRECTION('center_axis',(0.,1.,0.)); +#21637=DIRECTION('ref_axis',(0.,0.,1.)); +#21638=DIRECTION('center_axis',(-1.,0.,0.)); +#21639=DIRECTION('ref_axis',(0.,0.,1.)); +#21640=DIRECTION('',(0.,-1.,0.)); +#21641=DIRECTION('',(0.,0.,1.)); +#21642=DIRECTION('',(0.,1.,0.)); +#21643=DIRECTION('center_axis',(0.,0.,-1.)); +#21644=DIRECTION('ref_axis',(-1.,0.,0.)); +#21645=DIRECTION('',(-1.,0.,0.)); +#21646=DIRECTION('',(0.,1.,0.)); +#21647=DIRECTION('center_axis',(1.,0.,0.)); +#21648=DIRECTION('ref_axis',(0.,0.,-1.)); +#21649=DIRECTION('',(0.,0.,-1.)); +#21650=DIRECTION('',(0.,1.,0.)); +#21651=DIRECTION('center_axis',(0.,0.,1.)); +#21652=DIRECTION('ref_axis',(1.,0.,0.)); +#21653=DIRECTION('',(1.,0.,0.)); +#21654=DIRECTION('center_axis',(0.,1.,0.)); +#21655=DIRECTION('ref_axis',(0.,0.,1.)); +#21656=DIRECTION('center_axis',(-1.,0.,0.)); +#21657=DIRECTION('ref_axis',(0.,0.,1.)); +#21658=DIRECTION('',(0.,-1.,0.)); +#21659=DIRECTION('',(0.,0.,1.)); +#21660=DIRECTION('',(0.,1.,0.)); +#21661=DIRECTION('center_axis',(0.,0.,-1.)); +#21662=DIRECTION('ref_axis',(-1.,0.,0.)); +#21663=DIRECTION('',(-1.,0.,0.)); +#21664=DIRECTION('',(0.,1.,0.)); +#21665=DIRECTION('center_axis',(1.,0.,0.)); +#21666=DIRECTION('ref_axis',(0.,0.,-1.)); +#21667=DIRECTION('',(0.,0.,-1.)); +#21668=DIRECTION('',(0.,1.,0.)); +#21669=DIRECTION('center_axis',(0.,0.,1.)); +#21670=DIRECTION('ref_axis',(1.,0.,0.)); +#21671=DIRECTION('',(1.,0.,0.)); +#21672=DIRECTION('center_axis',(0.,1.,0.)); +#21673=DIRECTION('ref_axis',(0.,0.,1.)); +#21674=DIRECTION('center_axis',(-1.,0.,0.)); +#21675=DIRECTION('ref_axis',(0.,0.,1.)); +#21676=DIRECTION('',(0.,-1.,0.)); +#21677=DIRECTION('',(0.,0.,1.)); +#21678=DIRECTION('',(0.,1.,0.)); +#21679=DIRECTION('center_axis',(0.,0.,-1.)); +#21680=DIRECTION('ref_axis',(-1.,0.,0.)); +#21681=DIRECTION('',(-1.,0.,0.)); +#21682=DIRECTION('',(0.,1.,0.)); +#21683=DIRECTION('center_axis',(1.,0.,0.)); +#21684=DIRECTION('ref_axis',(0.,0.,-1.)); +#21685=DIRECTION('',(0.,0.,-1.)); +#21686=DIRECTION('',(0.,1.,0.)); +#21687=DIRECTION('center_axis',(0.,0.,1.)); +#21688=DIRECTION('ref_axis',(1.,0.,0.)); +#21689=DIRECTION('',(1.,0.,0.)); +#21690=DIRECTION('center_axis',(0.,1.,0.)); +#21691=DIRECTION('ref_axis',(0.,0.,1.)); +#21692=DIRECTION('center_axis',(-1.,0.,0.)); +#21693=DIRECTION('ref_axis',(0.,0.,1.)); +#21694=DIRECTION('',(0.,-1.,0.)); +#21695=DIRECTION('',(0.,0.,1.)); +#21696=DIRECTION('',(0.,1.,0.)); +#21697=DIRECTION('center_axis',(0.,0.,-1.)); +#21698=DIRECTION('ref_axis',(-1.,0.,0.)); +#21699=DIRECTION('',(-1.,0.,0.)); +#21700=DIRECTION('',(0.,1.,0.)); +#21701=DIRECTION('center_axis',(1.,0.,0.)); +#21702=DIRECTION('ref_axis',(0.,0.,-1.)); +#21703=DIRECTION('',(0.,0.,-1.)); +#21704=DIRECTION('',(0.,1.,0.)); +#21705=DIRECTION('center_axis',(0.,0.,1.)); +#21706=DIRECTION('ref_axis',(1.,0.,0.)); +#21707=DIRECTION('',(1.,0.,0.)); +#21708=DIRECTION('center_axis',(0.,1.,0.)); +#21709=DIRECTION('ref_axis',(0.,0.,1.)); +#21710=DIRECTION('center_axis',(-1.,0.,0.)); +#21711=DIRECTION('ref_axis',(0.,0.,1.)); +#21712=DIRECTION('',(0.,-1.,0.)); +#21713=DIRECTION('',(0.,0.,1.)); +#21714=DIRECTION('',(0.,1.,0.)); +#21715=DIRECTION('center_axis',(0.,0.,-1.)); +#21716=DIRECTION('ref_axis',(-1.,0.,0.)); +#21717=DIRECTION('',(-1.,0.,0.)); +#21718=DIRECTION('',(0.,1.,0.)); +#21719=DIRECTION('center_axis',(1.,0.,0.)); +#21720=DIRECTION('ref_axis',(0.,0.,-1.)); +#21721=DIRECTION('',(0.,0.,-1.)); +#21722=DIRECTION('',(0.,1.,0.)); +#21723=DIRECTION('center_axis',(0.,0.,1.)); +#21724=DIRECTION('ref_axis',(1.,0.,0.)); +#21725=DIRECTION('',(1.,0.,0.)); +#21726=DIRECTION('center_axis',(0.,1.,0.)); +#21727=DIRECTION('ref_axis',(0.,0.,1.)); +#21728=DIRECTION('center_axis',(-1.,0.,0.)); +#21729=DIRECTION('ref_axis',(0.,1.,0.)); +#21730=DIRECTION('',(0.,0.,1.)); +#21731=DIRECTION('',(0.,1.,0.)); +#21732=DIRECTION('',(0.,0.,-1.)); +#21733=DIRECTION('',(0.,-1.,0.)); +#21734=DIRECTION('center_axis',(0.,0.,1.)); +#21735=DIRECTION('ref_axis',(-1.,0.,0.)); +#21736=DIRECTION('',(1.,0.,0.)); +#21737=DIRECTION('',(0.,1.,0.)); +#21738=DIRECTION('',(-1.,0.,0.)); +#21739=DIRECTION('center_axis',(1.,0.,0.)); +#21740=DIRECTION('ref_axis',(0.,-1.,0.)); +#21741=DIRECTION('',(0.,0.,-1.)); +#21742=DIRECTION('',(0.,1.,0.)); +#21743=DIRECTION('',(0.,0.,1.)); +#21744=DIRECTION('center_axis',(0.,0.,-1.)); +#21745=DIRECTION('ref_axis',(1.,0.,0.)); +#21746=DIRECTION('',(-1.,0.,0.)); +#21747=DIRECTION('',(1.,0.,0.)); +#21748=DIRECTION('center_axis',(0.,-1.,0.)); +#21749=DIRECTION('ref_axis',(0.,0.,1.)); +#21750=DIRECTION('center_axis',(0.,1.,0.)); +#21751=DIRECTION('ref_axis',(0.,0.,-1.)); +#21752=DIRECTION('center_axis',(-1.,0.,0.)); +#21753=DIRECTION('ref_axis',(0.,1.,0.)); +#21754=DIRECTION('',(0.,0.,1.)); +#21755=DIRECTION('',(0.,1.,0.)); +#21756=DIRECTION('',(0.,0.,-1.)); +#21757=DIRECTION('',(0.,-1.,0.)); +#21758=DIRECTION('center_axis',(0.,0.,1.)); +#21759=DIRECTION('ref_axis',(-1.,0.,0.)); +#21760=DIRECTION('',(1.,0.,0.)); +#21761=DIRECTION('',(0.,1.,0.)); +#21762=DIRECTION('',(-1.,0.,0.)); +#21763=DIRECTION('center_axis',(1.,0.,0.)); +#21764=DIRECTION('ref_axis',(0.,-1.,0.)); +#21765=DIRECTION('',(0.,0.,-1.)); +#21766=DIRECTION('',(0.,1.,0.)); +#21767=DIRECTION('',(0.,0.,1.)); +#21768=DIRECTION('center_axis',(0.,0.,-1.)); +#21769=DIRECTION('ref_axis',(1.,0.,0.)); +#21770=DIRECTION('',(-1.,0.,0.)); +#21771=DIRECTION('',(1.,0.,0.)); +#21772=DIRECTION('center_axis',(0.,-1.,0.)); +#21773=DIRECTION('ref_axis',(0.,0.,1.)); +#21774=DIRECTION('center_axis',(0.,1.,0.)); +#21775=DIRECTION('ref_axis',(0.,0.,-1.)); +#21776=DIRECTION('center_axis',(-1.,0.,0.)); +#21777=DIRECTION('ref_axis',(0.,1.,0.)); +#21778=DIRECTION('',(0.,0.,1.)); +#21779=DIRECTION('',(0.,1.,0.)); +#21780=DIRECTION('',(0.,0.,-1.)); +#21781=DIRECTION('',(0.,-1.,0.)); +#21782=DIRECTION('center_axis',(0.,0.,1.)); +#21783=DIRECTION('ref_axis',(-1.,0.,0.)); +#21784=DIRECTION('',(1.,0.,0.)); +#21785=DIRECTION('',(0.,1.,0.)); +#21786=DIRECTION('',(-1.,0.,0.)); +#21787=DIRECTION('center_axis',(1.,0.,0.)); +#21788=DIRECTION('ref_axis',(0.,-1.,0.)); +#21789=DIRECTION('',(0.,0.,-1.)); +#21790=DIRECTION('',(0.,1.,0.)); +#21791=DIRECTION('',(0.,0.,1.)); +#21792=DIRECTION('center_axis',(0.,0.,-1.)); +#21793=DIRECTION('ref_axis',(1.,0.,0.)); +#21794=DIRECTION('',(-1.,0.,0.)); +#21795=DIRECTION('',(1.,0.,0.)); +#21796=DIRECTION('center_axis',(0.,-1.,0.)); +#21797=DIRECTION('ref_axis',(0.,0.,1.)); +#21798=DIRECTION('center_axis',(0.,1.,0.)); +#21799=DIRECTION('ref_axis',(0.,0.,-1.)); +#21800=DIRECTION('center_axis',(-1.,0.,0.)); +#21801=DIRECTION('ref_axis',(0.,1.,0.)); +#21802=DIRECTION('',(0.,0.,1.)); +#21803=DIRECTION('',(0.,1.,0.)); +#21804=DIRECTION('',(0.,0.,-1.)); +#21805=DIRECTION('',(0.,-1.,0.)); +#21806=DIRECTION('center_axis',(0.,0.,1.)); +#21807=DIRECTION('ref_axis',(-1.,0.,0.)); +#21808=DIRECTION('',(1.,0.,0.)); +#21809=DIRECTION('',(0.,1.,0.)); +#21810=DIRECTION('',(-1.,0.,0.)); +#21811=DIRECTION('center_axis',(1.,0.,0.)); +#21812=DIRECTION('ref_axis',(0.,-1.,0.)); +#21813=DIRECTION('',(0.,0.,-1.)); +#21814=DIRECTION('',(0.,1.,0.)); +#21815=DIRECTION('',(0.,0.,1.)); +#21816=DIRECTION('center_axis',(0.,0.,-1.)); +#21817=DIRECTION('ref_axis',(1.,0.,0.)); +#21818=DIRECTION('',(-1.,0.,0.)); +#21819=DIRECTION('',(1.,0.,0.)); +#21820=DIRECTION('center_axis',(0.,-1.,0.)); +#21821=DIRECTION('ref_axis',(0.,0.,1.)); +#21822=DIRECTION('center_axis',(0.,1.,0.)); +#21823=DIRECTION('ref_axis',(0.,0.,-1.)); +#21824=DIRECTION('center_axis',(-1.,0.,0.)); +#21825=DIRECTION('ref_axis',(0.,1.,0.)); +#21826=DIRECTION('',(0.,0.,1.)); +#21827=DIRECTION('',(0.,1.,0.)); +#21828=DIRECTION('',(0.,0.,-1.)); +#21829=DIRECTION('',(0.,-1.,0.)); +#21830=DIRECTION('center_axis',(0.,0.,1.)); +#21831=DIRECTION('ref_axis',(-1.,0.,0.)); +#21832=DIRECTION('',(1.,0.,0.)); +#21833=DIRECTION('',(0.,1.,0.)); +#21834=DIRECTION('',(-1.,0.,0.)); +#21835=DIRECTION('center_axis',(1.,0.,0.)); +#21836=DIRECTION('ref_axis',(0.,-1.,0.)); +#21837=DIRECTION('',(0.,0.,-1.)); +#21838=DIRECTION('',(0.,1.,0.)); +#21839=DIRECTION('',(0.,0.,1.)); +#21840=DIRECTION('center_axis',(0.,0.,-1.)); +#21841=DIRECTION('ref_axis',(1.,0.,0.)); +#21842=DIRECTION('',(-1.,0.,0.)); +#21843=DIRECTION('',(1.,0.,0.)); +#21844=DIRECTION('center_axis',(0.,-1.,0.)); +#21845=DIRECTION('ref_axis',(0.,0.,1.)); +#21846=DIRECTION('center_axis',(0.,1.,0.)); +#21847=DIRECTION('ref_axis',(0.,0.,-1.)); +#21848=DIRECTION('center_axis',(-1.,0.,0.)); +#21849=DIRECTION('ref_axis',(0.,1.,0.)); +#21850=DIRECTION('',(0.,0.,1.)); +#21851=DIRECTION('',(0.,1.,0.)); +#21852=DIRECTION('',(0.,0.,-1.)); +#21853=DIRECTION('',(0.,-1.,0.)); +#21854=DIRECTION('center_axis',(0.,0.,1.)); +#21855=DIRECTION('ref_axis',(-1.,0.,0.)); +#21856=DIRECTION('',(1.,0.,0.)); +#21857=DIRECTION('',(0.,1.,0.)); +#21858=DIRECTION('',(-1.,0.,0.)); +#21859=DIRECTION('center_axis',(1.,0.,0.)); +#21860=DIRECTION('ref_axis',(0.,-1.,0.)); +#21861=DIRECTION('',(0.,0.,-1.)); +#21862=DIRECTION('',(0.,1.,0.)); +#21863=DIRECTION('',(0.,0.,1.)); +#21864=DIRECTION('center_axis',(0.,0.,-1.)); +#21865=DIRECTION('ref_axis',(1.,0.,0.)); +#21866=DIRECTION('',(-1.,0.,0.)); +#21867=DIRECTION('',(1.,0.,0.)); +#21868=DIRECTION('center_axis',(0.,-1.,0.)); +#21869=DIRECTION('ref_axis',(0.,0.,1.)); +#21870=DIRECTION('center_axis',(0.,1.,0.)); +#21871=DIRECTION('ref_axis',(0.,0.,-1.)); +#21872=DIRECTION('center_axis',(-1.,0.,0.)); +#21873=DIRECTION('ref_axis',(0.,1.,0.)); +#21874=DIRECTION('',(0.,0.,1.)); +#21875=DIRECTION('',(0.,1.,0.)); +#21876=DIRECTION('',(0.,0.,-1.)); +#21877=DIRECTION('',(0.,-1.,0.)); +#21878=DIRECTION('center_axis',(0.,0.,1.)); +#21879=DIRECTION('ref_axis',(-1.,0.,0.)); +#21880=DIRECTION('',(1.,0.,0.)); +#21881=DIRECTION('',(0.,1.,0.)); +#21882=DIRECTION('',(-1.,0.,0.)); +#21883=DIRECTION('center_axis',(1.,0.,0.)); +#21884=DIRECTION('ref_axis',(0.,-1.,0.)); +#21885=DIRECTION('',(0.,0.,-1.)); +#21886=DIRECTION('',(0.,1.,0.)); +#21887=DIRECTION('',(0.,0.,1.)); +#21888=DIRECTION('center_axis',(0.,0.,-1.)); +#21889=DIRECTION('ref_axis',(1.,0.,0.)); +#21890=DIRECTION('',(-1.,0.,0.)); +#21891=DIRECTION('',(1.,0.,0.)); +#21892=DIRECTION('center_axis',(0.,-1.,0.)); +#21893=DIRECTION('ref_axis',(0.,0.,1.)); +#21894=DIRECTION('center_axis',(0.,1.,0.)); +#21895=DIRECTION('ref_axis',(0.,0.,-1.)); +#21896=DIRECTION('center_axis',(0.,-1.,0.)); +#21897=DIRECTION('ref_axis',(0.,0.,1.)); +#21898=DIRECTION('',(0.,0.,1.)); +#21899=DIRECTION('',(-1.,0.,0.)); +#21900=DIRECTION('',(0.,0.,-1.)); +#21901=DIRECTION('',(1.,0.,0.)); +#21902=DIRECTION('center_axis',(0.,0.,1.)); +#21903=DIRECTION('ref_axis',(-1.,0.,0.)); +#21904=DIRECTION('',(0.,1.,0.)); +#21905=DIRECTION('',(-1.,0.,0.)); +#21906=DIRECTION('',(0.,-1.,0.)); +#21907=DIRECTION('center_axis',(0.,1.,0.)); +#21908=DIRECTION('ref_axis',(0.,0.,-1.)); +#21909=DIRECTION('',(0.,0.,-1.)); +#21910=DIRECTION('',(-1.,0.,0.)); +#21911=DIRECTION('',(0.,0.,1.)); +#21912=DIRECTION('center_axis',(0.,0.,-1.)); +#21913=DIRECTION('ref_axis',(1.,0.,0.)); +#21914=DIRECTION('',(0.,-1.,0.)); +#21915=DIRECTION('',(0.,1.,0.)); +#21916=DIRECTION('center_axis',(1.,0.,0.)); +#21917=DIRECTION('ref_axis',(0.,-1.,0.)); +#21918=DIRECTION('center_axis',(-1.,0.,0.)); +#21919=DIRECTION('ref_axis',(0.,1.,0.)); +#21920=DIRECTION('center_axis',(0.,-1.,0.)); +#21921=DIRECTION('ref_axis',(0.,0.,1.)); +#21922=DIRECTION('',(0.,0.,1.)); +#21923=DIRECTION('',(-1.,0.,0.)); +#21924=DIRECTION('',(0.,0.,-1.)); +#21925=DIRECTION('',(1.,0.,0.)); +#21926=DIRECTION('center_axis',(0.,0.,1.)); +#21927=DIRECTION('ref_axis',(-1.,0.,0.)); +#21928=DIRECTION('',(0.,1.,0.)); +#21929=DIRECTION('',(-1.,0.,0.)); +#21930=DIRECTION('',(0.,-1.,0.)); +#21931=DIRECTION('center_axis',(0.,1.,0.)); +#21932=DIRECTION('ref_axis',(0.,0.,-1.)); +#21933=DIRECTION('',(0.,0.,-1.)); +#21934=DIRECTION('',(-1.,0.,0.)); +#21935=DIRECTION('',(0.,0.,1.)); +#21936=DIRECTION('center_axis',(0.,0.,-1.)); +#21937=DIRECTION('ref_axis',(1.,0.,0.)); +#21938=DIRECTION('',(0.,-1.,0.)); +#21939=DIRECTION('',(0.,1.,0.)); +#21940=DIRECTION('center_axis',(1.,0.,0.)); +#21941=DIRECTION('ref_axis',(0.,-1.,0.)); +#21942=DIRECTION('center_axis',(-1.,0.,0.)); +#21943=DIRECTION('ref_axis',(0.,1.,0.)); +#21944=DIRECTION('center_axis',(0.,-1.,0.)); +#21945=DIRECTION('ref_axis',(0.,0.,1.)); +#21946=DIRECTION('',(0.,0.,1.)); +#21947=DIRECTION('',(-1.,0.,0.)); +#21948=DIRECTION('',(0.,0.,-1.)); +#21949=DIRECTION('',(1.,0.,0.)); +#21950=DIRECTION('center_axis',(0.,0.,1.)); +#21951=DIRECTION('ref_axis',(-1.,0.,0.)); +#21952=DIRECTION('',(0.,1.,0.)); +#21953=DIRECTION('',(-1.,0.,0.)); +#21954=DIRECTION('',(0.,-1.,0.)); +#21955=DIRECTION('center_axis',(0.,1.,0.)); +#21956=DIRECTION('ref_axis',(0.,0.,-1.)); +#21957=DIRECTION('',(0.,0.,-1.)); +#21958=DIRECTION('',(-1.,0.,0.)); +#21959=DIRECTION('',(0.,0.,1.)); +#21960=DIRECTION('center_axis',(0.,0.,-1.)); +#21961=DIRECTION('ref_axis',(1.,0.,0.)); +#21962=DIRECTION('',(0.,-1.,0.)); +#21963=DIRECTION('',(0.,1.,0.)); +#21964=DIRECTION('center_axis',(1.,0.,0.)); +#21965=DIRECTION('ref_axis',(0.,-1.,0.)); +#21966=DIRECTION('center_axis',(-1.,0.,0.)); +#21967=DIRECTION('ref_axis',(0.,1.,0.)); +#21968=DIRECTION('center_axis',(0.,-1.,0.)); +#21969=DIRECTION('ref_axis',(0.,0.,1.)); +#21970=DIRECTION('',(0.,0.,1.)); +#21971=DIRECTION('',(-1.,0.,0.)); +#21972=DIRECTION('',(0.,0.,-1.)); +#21973=DIRECTION('',(1.,0.,0.)); +#21974=DIRECTION('center_axis',(0.,0.,1.)); +#21975=DIRECTION('ref_axis',(-1.,0.,0.)); +#21976=DIRECTION('',(0.,1.,0.)); +#21977=DIRECTION('',(-1.,0.,0.)); +#21978=DIRECTION('',(0.,-1.,0.)); +#21979=DIRECTION('center_axis',(0.,1.,0.)); +#21980=DIRECTION('ref_axis',(0.,0.,-1.)); +#21981=DIRECTION('',(0.,0.,-1.)); +#21982=DIRECTION('',(-1.,0.,0.)); +#21983=DIRECTION('',(0.,0.,1.)); +#21984=DIRECTION('center_axis',(0.,0.,-1.)); +#21985=DIRECTION('ref_axis',(1.,0.,0.)); +#21986=DIRECTION('',(0.,-1.,0.)); +#21987=DIRECTION('',(0.,1.,0.)); +#21988=DIRECTION('center_axis',(1.,0.,0.)); +#21989=DIRECTION('ref_axis',(0.,-1.,0.)); +#21990=DIRECTION('center_axis',(-1.,0.,0.)); +#21991=DIRECTION('ref_axis',(0.,1.,0.)); +#21992=DIRECTION('center_axis',(0.,-1.,0.)); +#21993=DIRECTION('ref_axis',(0.,0.,1.)); +#21994=DIRECTION('',(0.,0.,1.)); +#21995=DIRECTION('',(-1.,0.,0.)); +#21996=DIRECTION('',(0.,0.,-1.)); +#21997=DIRECTION('',(1.,0.,0.)); +#21998=DIRECTION('center_axis',(0.,0.,1.)); +#21999=DIRECTION('ref_axis',(-1.,0.,0.)); +#22000=DIRECTION('',(0.,1.,0.)); +#22001=DIRECTION('',(-1.,0.,0.)); +#22002=DIRECTION('',(0.,-1.,0.)); +#22003=DIRECTION('center_axis',(0.,1.,0.)); +#22004=DIRECTION('ref_axis',(0.,0.,-1.)); +#22005=DIRECTION('',(0.,0.,-1.)); +#22006=DIRECTION('',(-1.,0.,0.)); +#22007=DIRECTION('',(0.,0.,1.)); +#22008=DIRECTION('center_axis',(0.,0.,-1.)); +#22009=DIRECTION('ref_axis',(1.,0.,0.)); +#22010=DIRECTION('',(0.,-1.,0.)); +#22011=DIRECTION('',(0.,1.,0.)); +#22012=DIRECTION('center_axis',(1.,0.,0.)); +#22013=DIRECTION('ref_axis',(0.,-1.,0.)); +#22014=DIRECTION('center_axis',(-1.,0.,0.)); +#22015=DIRECTION('ref_axis',(0.,1.,0.)); +#22016=DIRECTION('center_axis',(0.,-1.,0.)); +#22017=DIRECTION('ref_axis',(0.,0.,1.)); +#22018=DIRECTION('',(0.,0.,1.)); +#22019=DIRECTION('',(-1.,0.,0.)); +#22020=DIRECTION('',(0.,0.,-1.)); +#22021=DIRECTION('',(1.,0.,0.)); +#22022=DIRECTION('center_axis',(0.,0.,1.)); +#22023=DIRECTION('ref_axis',(-1.,0.,0.)); +#22024=DIRECTION('',(0.,1.,0.)); +#22025=DIRECTION('',(-1.,0.,0.)); +#22026=DIRECTION('',(0.,-1.,0.)); +#22027=DIRECTION('center_axis',(0.,1.,0.)); +#22028=DIRECTION('ref_axis',(0.,0.,-1.)); +#22029=DIRECTION('',(0.,0.,-1.)); +#22030=DIRECTION('',(-1.,0.,0.)); +#22031=DIRECTION('',(0.,0.,1.)); +#22032=DIRECTION('center_axis',(0.,0.,-1.)); +#22033=DIRECTION('ref_axis',(1.,0.,0.)); +#22034=DIRECTION('',(0.,-1.,0.)); +#22035=DIRECTION('',(0.,1.,0.)); +#22036=DIRECTION('center_axis',(1.,0.,0.)); +#22037=DIRECTION('ref_axis',(0.,-1.,0.)); +#22038=DIRECTION('center_axis',(-1.,0.,0.)); +#22039=DIRECTION('ref_axis',(0.,1.,0.)); +#22040=DIRECTION('center_axis',(0.,-1.,0.)); +#22041=DIRECTION('ref_axis',(0.,0.,1.)); +#22042=DIRECTION('',(0.,0.,1.)); +#22043=DIRECTION('',(-1.,0.,0.)); +#22044=DIRECTION('',(0.,0.,-1.)); +#22045=DIRECTION('',(1.,0.,0.)); +#22046=DIRECTION('center_axis',(0.,0.,1.)); +#22047=DIRECTION('ref_axis',(-1.,0.,0.)); +#22048=DIRECTION('',(0.,1.,0.)); +#22049=DIRECTION('',(-1.,0.,0.)); +#22050=DIRECTION('',(0.,-1.,0.)); +#22051=DIRECTION('center_axis',(0.,1.,0.)); +#22052=DIRECTION('ref_axis',(0.,0.,-1.)); +#22053=DIRECTION('',(0.,0.,-1.)); +#22054=DIRECTION('',(-1.,0.,0.)); +#22055=DIRECTION('',(0.,0.,1.)); +#22056=DIRECTION('center_axis',(0.,0.,-1.)); +#22057=DIRECTION('ref_axis',(1.,0.,0.)); +#22058=DIRECTION('',(0.,-1.,0.)); +#22059=DIRECTION('',(0.,1.,0.)); +#22060=DIRECTION('center_axis',(1.,0.,0.)); +#22061=DIRECTION('ref_axis',(0.,-1.,0.)); +#22062=DIRECTION('center_axis',(-1.,0.,0.)); +#22063=DIRECTION('ref_axis',(0.,1.,0.)); +#22064=DIRECTION('center_axis',(0.,1.,0.)); +#22065=DIRECTION('ref_axis',(0.,0.,-1.)); +#22066=DIRECTION('',(0.,0.,1.)); +#22067=DIRECTION('',(1.,0.,0.)); +#22068=DIRECTION('',(0.,0.,-1.)); +#22069=DIRECTION('',(-1.,0.,0.)); +#22070=DIRECTION('center_axis',(0.,0.,1.)); +#22071=DIRECTION('ref_axis',(-1.,0.,0.)); +#22072=DIRECTION('',(0.,-1.,0.)); +#22073=DIRECTION('',(1.,0.,0.)); +#22074=DIRECTION('',(0.,1.,0.)); +#22075=DIRECTION('center_axis',(0.,-1.,0.)); +#22076=DIRECTION('ref_axis',(0.,0.,1.)); +#22077=DIRECTION('',(0.,0.,-1.)); +#22078=DIRECTION('',(1.,0.,0.)); +#22079=DIRECTION('',(0.,0.,1.)); +#22080=DIRECTION('center_axis',(0.,0.,-1.)); +#22081=DIRECTION('ref_axis',(1.,0.,0.)); +#22082=DIRECTION('',(0.,1.,0.)); +#22083=DIRECTION('',(0.,-1.,0.)); +#22084=DIRECTION('center_axis',(-1.,0.,0.)); +#22085=DIRECTION('ref_axis',(0.,1.,0.)); +#22086=DIRECTION('center_axis',(1.,0.,0.)); +#22087=DIRECTION('ref_axis',(0.,-1.,0.)); +#22088=DIRECTION('center_axis',(0.,1.,0.)); +#22089=DIRECTION('ref_axis',(0.,0.,-1.)); +#22090=DIRECTION('',(0.,0.,1.)); +#22091=DIRECTION('',(1.,0.,0.)); +#22092=DIRECTION('',(0.,0.,-1.)); +#22093=DIRECTION('',(-1.,0.,0.)); +#22094=DIRECTION('center_axis',(0.,0.,1.)); +#22095=DIRECTION('ref_axis',(-1.,0.,0.)); +#22096=DIRECTION('',(0.,-1.,0.)); +#22097=DIRECTION('',(1.,0.,0.)); +#22098=DIRECTION('',(0.,1.,0.)); +#22099=DIRECTION('center_axis',(0.,-1.,0.)); +#22100=DIRECTION('ref_axis',(0.,0.,1.)); +#22101=DIRECTION('',(0.,0.,-1.)); +#22102=DIRECTION('',(1.,0.,0.)); +#22103=DIRECTION('',(0.,0.,1.)); +#22104=DIRECTION('center_axis',(0.,0.,-1.)); +#22105=DIRECTION('ref_axis',(1.,0.,0.)); +#22106=DIRECTION('',(0.,1.,0.)); +#22107=DIRECTION('',(0.,-1.,0.)); +#22108=DIRECTION('center_axis',(-1.,0.,0.)); +#22109=DIRECTION('ref_axis',(0.,1.,0.)); +#22110=DIRECTION('center_axis',(1.,0.,0.)); +#22111=DIRECTION('ref_axis',(0.,-1.,0.)); +#22112=DIRECTION('center_axis',(0.,1.,0.)); +#22113=DIRECTION('ref_axis',(0.,0.,-1.)); +#22114=DIRECTION('',(0.,0.,1.)); +#22115=DIRECTION('',(1.,0.,0.)); +#22116=DIRECTION('',(0.,0.,-1.)); +#22117=DIRECTION('',(-1.,0.,0.)); +#22118=DIRECTION('center_axis',(0.,0.,1.)); +#22119=DIRECTION('ref_axis',(-1.,0.,0.)); +#22120=DIRECTION('',(0.,-1.,0.)); +#22121=DIRECTION('',(1.,0.,0.)); +#22122=DIRECTION('',(0.,1.,0.)); +#22123=DIRECTION('center_axis',(0.,-1.,0.)); +#22124=DIRECTION('ref_axis',(0.,0.,1.)); +#22125=DIRECTION('',(0.,0.,-1.)); +#22126=DIRECTION('',(1.,0.,0.)); +#22127=DIRECTION('',(0.,0.,1.)); +#22128=DIRECTION('center_axis',(0.,0.,-1.)); +#22129=DIRECTION('ref_axis',(1.,0.,0.)); +#22130=DIRECTION('',(0.,1.,0.)); +#22131=DIRECTION('',(0.,-1.,0.)); +#22132=DIRECTION('center_axis',(-1.,0.,0.)); +#22133=DIRECTION('ref_axis',(0.,1.,0.)); +#22134=DIRECTION('center_axis',(1.,0.,0.)); +#22135=DIRECTION('ref_axis',(0.,-1.,0.)); +#22136=DIRECTION('center_axis',(0.,1.,0.)); +#22137=DIRECTION('ref_axis',(0.,0.,-1.)); +#22138=DIRECTION('',(0.,0.,1.)); +#22139=DIRECTION('',(1.,0.,0.)); +#22140=DIRECTION('',(0.,0.,-1.)); +#22141=DIRECTION('',(-1.,0.,0.)); +#22142=DIRECTION('center_axis',(0.,0.,1.)); +#22143=DIRECTION('ref_axis',(-1.,0.,0.)); +#22144=DIRECTION('',(0.,-1.,0.)); +#22145=DIRECTION('',(1.,0.,0.)); +#22146=DIRECTION('',(0.,1.,0.)); +#22147=DIRECTION('center_axis',(0.,-1.,0.)); +#22148=DIRECTION('ref_axis',(0.,0.,1.)); +#22149=DIRECTION('',(0.,0.,-1.)); +#22150=DIRECTION('',(1.,0.,0.)); +#22151=DIRECTION('',(0.,0.,1.)); +#22152=DIRECTION('center_axis',(0.,0.,-1.)); +#22153=DIRECTION('ref_axis',(1.,0.,0.)); +#22154=DIRECTION('',(0.,1.,0.)); +#22155=DIRECTION('',(0.,-1.,0.)); +#22156=DIRECTION('center_axis',(-1.,0.,0.)); +#22157=DIRECTION('ref_axis',(0.,1.,0.)); +#22158=DIRECTION('center_axis',(1.,0.,0.)); +#22159=DIRECTION('ref_axis',(0.,-1.,0.)); +#22160=DIRECTION('center_axis',(0.,1.,0.)); +#22161=DIRECTION('ref_axis',(0.,0.,-1.)); +#22162=DIRECTION('',(0.,0.,1.)); +#22163=DIRECTION('',(1.,0.,0.)); +#22164=DIRECTION('',(0.,0.,-1.)); +#22165=DIRECTION('',(-1.,0.,0.)); +#22166=DIRECTION('center_axis',(0.,0.,1.)); +#22167=DIRECTION('ref_axis',(-1.,0.,0.)); +#22168=DIRECTION('',(0.,-1.,0.)); +#22169=DIRECTION('',(1.,0.,0.)); +#22170=DIRECTION('',(0.,1.,0.)); +#22171=DIRECTION('center_axis',(0.,-1.,0.)); +#22172=DIRECTION('ref_axis',(0.,0.,1.)); +#22173=DIRECTION('',(0.,0.,-1.)); +#22174=DIRECTION('',(1.,0.,0.)); +#22175=DIRECTION('',(0.,0.,1.)); +#22176=DIRECTION('center_axis',(0.,0.,-1.)); +#22177=DIRECTION('ref_axis',(1.,0.,0.)); +#22178=DIRECTION('',(0.,1.,0.)); +#22179=DIRECTION('',(0.,-1.,0.)); +#22180=DIRECTION('center_axis',(-1.,0.,0.)); +#22181=DIRECTION('ref_axis',(0.,1.,0.)); +#22182=DIRECTION('center_axis',(1.,0.,0.)); +#22183=DIRECTION('ref_axis',(0.,-1.,0.)); +#22184=DIRECTION('center_axis',(0.,1.,0.)); +#22185=DIRECTION('ref_axis',(0.,0.,-1.)); +#22186=DIRECTION('',(0.,0.,1.)); +#22187=DIRECTION('',(1.,0.,0.)); +#22188=DIRECTION('',(0.,0.,-1.)); +#22189=DIRECTION('',(-1.,0.,0.)); +#22190=DIRECTION('center_axis',(0.,0.,1.)); +#22191=DIRECTION('ref_axis',(-1.,0.,0.)); +#22192=DIRECTION('',(0.,-1.,0.)); +#22193=DIRECTION('',(1.,0.,0.)); +#22194=DIRECTION('',(0.,1.,0.)); +#22195=DIRECTION('center_axis',(0.,-1.,0.)); +#22196=DIRECTION('ref_axis',(0.,0.,1.)); +#22197=DIRECTION('',(0.,0.,-1.)); +#22198=DIRECTION('',(1.,0.,0.)); +#22199=DIRECTION('',(0.,0.,1.)); +#22200=DIRECTION('center_axis',(0.,0.,-1.)); +#22201=DIRECTION('ref_axis',(1.,0.,0.)); +#22202=DIRECTION('',(0.,1.,0.)); +#22203=DIRECTION('',(0.,-1.,0.)); +#22204=DIRECTION('center_axis',(-1.,0.,0.)); +#22205=DIRECTION('ref_axis',(0.,1.,0.)); +#22206=DIRECTION('center_axis',(1.,0.,0.)); +#22207=DIRECTION('ref_axis',(0.,-1.,0.)); +#22208=DIRECTION('center_axis',(0.,1.,0.)); +#22209=DIRECTION('ref_axis',(0.,0.,-1.)); +#22210=DIRECTION('',(0.,0.,1.)); +#22211=DIRECTION('',(1.,0.,0.)); +#22212=DIRECTION('',(0.,0.,-1.)); +#22213=DIRECTION('',(-1.,0.,0.)); +#22214=DIRECTION('center_axis',(0.,0.,1.)); +#22215=DIRECTION('ref_axis',(-1.,0.,0.)); +#22216=DIRECTION('',(0.,-1.,0.)); +#22217=DIRECTION('',(1.,0.,0.)); +#22218=DIRECTION('',(0.,1.,0.)); +#22219=DIRECTION('center_axis',(0.,-1.,0.)); +#22220=DIRECTION('ref_axis',(0.,0.,1.)); +#22221=DIRECTION('',(0.,0.,-1.)); +#22222=DIRECTION('',(1.,0.,0.)); +#22223=DIRECTION('',(0.,0.,1.)); +#22224=DIRECTION('center_axis',(0.,0.,-1.)); +#22225=DIRECTION('ref_axis',(1.,0.,0.)); +#22226=DIRECTION('',(0.,1.,0.)); +#22227=DIRECTION('',(0.,-1.,0.)); +#22228=DIRECTION('center_axis',(-1.,0.,0.)); +#22229=DIRECTION('ref_axis',(0.,1.,0.)); +#22230=DIRECTION('center_axis',(1.,0.,0.)); +#22231=DIRECTION('ref_axis',(0.,-1.,0.)); +#22232=DIRECTION('center_axis',(1.,0.,0.)); +#22233=DIRECTION('ref_axis',(0.,-1.,0.)); +#22234=DIRECTION('',(0.,0.,1.)); +#22235=DIRECTION('',(0.,-1.,0.)); +#22236=DIRECTION('',(0.,0.,-1.)); +#22237=DIRECTION('',(0.,1.,0.)); +#22238=DIRECTION('center_axis',(0.,0.,1.)); +#22239=DIRECTION('ref_axis',(-1.,0.,0.)); +#22240=DIRECTION('',(-1.,0.,0.)); +#22241=DIRECTION('',(0.,-1.,0.)); +#22242=DIRECTION('',(1.,0.,0.)); +#22243=DIRECTION('center_axis',(-1.,0.,0.)); +#22244=DIRECTION('ref_axis',(0.,1.,0.)); +#22245=DIRECTION('',(0.,0.,-1.)); +#22246=DIRECTION('',(0.,-1.,0.)); +#22247=DIRECTION('',(0.,0.,1.)); +#22248=DIRECTION('center_axis',(0.,0.,-1.)); +#22249=DIRECTION('ref_axis',(1.,0.,0.)); +#22250=DIRECTION('',(1.,0.,0.)); +#22251=DIRECTION('',(-1.,0.,0.)); +#22252=DIRECTION('center_axis',(0.,1.,0.)); +#22253=DIRECTION('ref_axis',(0.,0.,-1.)); +#22254=DIRECTION('center_axis',(0.,-1.,0.)); +#22255=DIRECTION('ref_axis',(0.,0.,1.)); +#22256=DIRECTION('center_axis',(1.,0.,0.)); +#22257=DIRECTION('ref_axis',(0.,-1.,0.)); +#22258=DIRECTION('',(0.,0.,1.)); +#22259=DIRECTION('',(0.,-1.,0.)); +#22260=DIRECTION('',(0.,0.,-1.)); +#22261=DIRECTION('',(0.,1.,0.)); +#22262=DIRECTION('center_axis',(0.,0.,1.)); +#22263=DIRECTION('ref_axis',(-1.,0.,0.)); +#22264=DIRECTION('',(-1.,0.,0.)); +#22265=DIRECTION('',(0.,-1.,0.)); +#22266=DIRECTION('',(1.,0.,0.)); +#22267=DIRECTION('center_axis',(-1.,0.,0.)); +#22268=DIRECTION('ref_axis',(0.,1.,0.)); +#22269=DIRECTION('',(0.,0.,-1.)); +#22270=DIRECTION('',(0.,-1.,0.)); +#22271=DIRECTION('',(0.,0.,1.)); +#22272=DIRECTION('center_axis',(0.,0.,-1.)); +#22273=DIRECTION('ref_axis',(1.,0.,0.)); +#22274=DIRECTION('',(1.,0.,0.)); +#22275=DIRECTION('',(-1.,0.,0.)); +#22276=DIRECTION('center_axis',(0.,1.,0.)); +#22277=DIRECTION('ref_axis',(0.,0.,-1.)); +#22278=DIRECTION('center_axis',(0.,-1.,0.)); +#22279=DIRECTION('ref_axis',(0.,0.,1.)); +#22280=DIRECTION('center_axis',(1.,0.,0.)); +#22281=DIRECTION('ref_axis',(0.,-1.,0.)); +#22282=DIRECTION('',(0.,0.,1.)); +#22283=DIRECTION('',(0.,-1.,0.)); +#22284=DIRECTION('',(0.,0.,-1.)); +#22285=DIRECTION('',(0.,1.,0.)); +#22286=DIRECTION('center_axis',(0.,0.,1.)); +#22287=DIRECTION('ref_axis',(-1.,0.,0.)); +#22288=DIRECTION('',(-1.,0.,0.)); +#22289=DIRECTION('',(0.,-1.,0.)); +#22290=DIRECTION('',(1.,0.,0.)); +#22291=DIRECTION('center_axis',(-1.,0.,0.)); +#22292=DIRECTION('ref_axis',(0.,1.,0.)); +#22293=DIRECTION('',(0.,0.,-1.)); +#22294=DIRECTION('',(0.,-1.,0.)); +#22295=DIRECTION('',(0.,0.,1.)); +#22296=DIRECTION('center_axis',(0.,0.,-1.)); +#22297=DIRECTION('ref_axis',(1.,0.,0.)); +#22298=DIRECTION('',(1.,0.,0.)); +#22299=DIRECTION('',(-1.,0.,0.)); +#22300=DIRECTION('center_axis',(0.,1.,0.)); +#22301=DIRECTION('ref_axis',(0.,0.,-1.)); +#22302=DIRECTION('center_axis',(0.,-1.,0.)); +#22303=DIRECTION('ref_axis',(0.,0.,1.)); +#22304=DIRECTION('center_axis',(1.,0.,0.)); +#22305=DIRECTION('ref_axis',(0.,-1.,0.)); +#22306=DIRECTION('',(0.,0.,1.)); +#22307=DIRECTION('',(0.,-1.,0.)); +#22308=DIRECTION('',(0.,0.,-1.)); +#22309=DIRECTION('',(0.,1.,0.)); +#22310=DIRECTION('center_axis',(0.,0.,1.)); +#22311=DIRECTION('ref_axis',(-1.,0.,0.)); +#22312=DIRECTION('',(-1.,0.,0.)); +#22313=DIRECTION('',(0.,-1.,0.)); +#22314=DIRECTION('',(1.,0.,0.)); +#22315=DIRECTION('center_axis',(-1.,0.,0.)); +#22316=DIRECTION('ref_axis',(0.,1.,0.)); +#22317=DIRECTION('',(0.,0.,-1.)); +#22318=DIRECTION('',(0.,-1.,0.)); +#22319=DIRECTION('',(0.,0.,1.)); +#22320=DIRECTION('center_axis',(0.,0.,-1.)); +#22321=DIRECTION('ref_axis',(1.,0.,0.)); +#22322=DIRECTION('',(1.,0.,0.)); +#22323=DIRECTION('',(-1.,0.,0.)); +#22324=DIRECTION('center_axis',(0.,1.,0.)); +#22325=DIRECTION('ref_axis',(0.,0.,-1.)); +#22326=DIRECTION('center_axis',(0.,-1.,0.)); +#22327=DIRECTION('ref_axis',(0.,0.,1.)); +#22328=DIRECTION('center_axis',(1.,0.,0.)); +#22329=DIRECTION('ref_axis',(0.,-1.,0.)); +#22330=DIRECTION('',(0.,0.,1.)); +#22331=DIRECTION('',(0.,-1.,0.)); +#22332=DIRECTION('',(0.,0.,-1.)); +#22333=DIRECTION('',(0.,1.,0.)); +#22334=DIRECTION('center_axis',(0.,0.,1.)); +#22335=DIRECTION('ref_axis',(-1.,0.,0.)); +#22336=DIRECTION('',(-1.,0.,0.)); +#22337=DIRECTION('',(0.,-1.,0.)); +#22338=DIRECTION('',(1.,0.,0.)); +#22339=DIRECTION('center_axis',(-1.,0.,0.)); +#22340=DIRECTION('ref_axis',(0.,1.,0.)); +#22341=DIRECTION('',(0.,0.,-1.)); +#22342=DIRECTION('',(0.,-1.,0.)); +#22343=DIRECTION('',(0.,0.,1.)); +#22344=DIRECTION('center_axis',(0.,0.,-1.)); +#22345=DIRECTION('ref_axis',(1.,0.,0.)); +#22346=DIRECTION('',(1.,0.,0.)); +#22347=DIRECTION('',(-1.,0.,0.)); +#22348=DIRECTION('center_axis',(0.,1.,0.)); +#22349=DIRECTION('ref_axis',(0.,0.,-1.)); +#22350=DIRECTION('center_axis',(0.,-1.,0.)); +#22351=DIRECTION('ref_axis',(0.,0.,1.)); +#22352=DIRECTION('center_axis',(1.,0.,0.)); +#22353=DIRECTION('ref_axis',(0.,-1.,0.)); +#22354=DIRECTION('',(0.,0.,1.)); +#22355=DIRECTION('',(0.,-1.,0.)); +#22356=DIRECTION('',(0.,0.,-1.)); +#22357=DIRECTION('',(0.,1.,0.)); +#22358=DIRECTION('center_axis',(0.,0.,1.)); +#22359=DIRECTION('ref_axis',(-1.,0.,0.)); +#22360=DIRECTION('',(-1.,0.,0.)); +#22361=DIRECTION('',(0.,-1.,0.)); +#22362=DIRECTION('',(1.,0.,0.)); +#22363=DIRECTION('center_axis',(-1.,0.,0.)); +#22364=DIRECTION('ref_axis',(0.,1.,0.)); +#22365=DIRECTION('',(0.,0.,-1.)); +#22366=DIRECTION('',(0.,-1.,0.)); +#22367=DIRECTION('',(0.,0.,1.)); +#22368=DIRECTION('center_axis',(0.,0.,-1.)); +#22369=DIRECTION('ref_axis',(1.,0.,0.)); +#22370=DIRECTION('',(1.,0.,0.)); +#22371=DIRECTION('',(-1.,0.,0.)); +#22372=DIRECTION('center_axis',(0.,1.,0.)); +#22373=DIRECTION('ref_axis',(0.,0.,-1.)); +#22374=DIRECTION('center_axis',(0.,-1.,0.)); +#22375=DIRECTION('ref_axis',(0.,0.,1.)); +#22376=DIRECTION('center_axis',(1.,0.,0.)); +#22377=DIRECTION('ref_axis',(0.,-1.,0.)); +#22378=DIRECTION('',(0.,0.,1.)); +#22379=DIRECTION('',(0.,-1.,0.)); +#22380=DIRECTION('',(0.,0.,-1.)); +#22381=DIRECTION('',(0.,1.,0.)); +#22382=DIRECTION('center_axis',(0.,0.,1.)); +#22383=DIRECTION('ref_axis',(-1.,0.,0.)); +#22384=DIRECTION('',(-1.,0.,0.)); +#22385=DIRECTION('',(0.,-1.,0.)); +#22386=DIRECTION('',(1.,0.,0.)); +#22387=DIRECTION('center_axis',(-1.,0.,0.)); +#22388=DIRECTION('ref_axis',(0.,1.,0.)); +#22389=DIRECTION('',(0.,0.,-1.)); +#22390=DIRECTION('',(0.,-1.,0.)); +#22391=DIRECTION('',(0.,0.,1.)); +#22392=DIRECTION('center_axis',(0.,0.,-1.)); +#22393=DIRECTION('ref_axis',(1.,0.,0.)); +#22394=DIRECTION('',(1.,0.,0.)); +#22395=DIRECTION('',(-1.,0.,0.)); +#22396=DIRECTION('center_axis',(0.,1.,0.)); +#22397=DIRECTION('ref_axis',(0.,0.,-1.)); +#22398=DIRECTION('center_axis',(0.,-1.,0.)); +#22399=DIRECTION('ref_axis',(0.,0.,1.)); +#22400=DIRECTION('center_axis',(1.,0.,0.)); +#22401=DIRECTION('ref_axis',(0.,0.,-1.)); +#22402=DIRECTION('',(0.,1.,0.)); +#22403=DIRECTION('',(0.,0.,1.)); +#22404=DIRECTION('',(0.,-1.,0.)); +#22405=DIRECTION('',(0.,0.,-1.)); +#22406=DIRECTION('center_axis',(0.,1.,0.)); +#22407=DIRECTION('ref_axis',(0.,0.,1.)); +#22408=DIRECTION('',(-1.,0.,0.)); +#22409=DIRECTION('',(0.,0.,1.)); +#22410=DIRECTION('',(1.,0.,0.)); +#22411=DIRECTION('center_axis',(-1.,0.,0.)); +#22412=DIRECTION('ref_axis',(0.,0.,1.)); +#22413=DIRECTION('',(0.,-1.,0.)); +#22414=DIRECTION('',(0.,0.,1.)); +#22415=DIRECTION('',(0.,1.,0.)); +#22416=DIRECTION('center_axis',(0.,0.,-1.)); +#22417=DIRECTION('ref_axis',(2.96059473233375E-14,-1.,0.)); +#22418=DIRECTION('center_axis',(0.,0.,1.)); +#22419=DIRECTION('ref_axis',(1.,-1.8503717077086E-15,0.)); +#22420=DIRECTION('center_axis',(0.,0.,-1.)); +#22421=DIRECTION('ref_axis',(-1.,-1.85037170770859E-15,0.)); +#22422=DIRECTION('center_axis',(0.,0.,-1.)); +#22423=DIRECTION('ref_axis',(1.,0.,0.)); +#22424=DIRECTION('center_axis',(0.,0.,1.)); +#22425=DIRECTION('ref_axis',(-1.,0.,0.)); +#22426=DIRECTION('center_axis',(1.,0.,0.)); +#22427=DIRECTION('ref_axis',(0.,0.,-1.)); +#22428=DIRECTION('',(0.,1.,0.)); +#22429=DIRECTION('',(0.,0.,1.)); +#22430=DIRECTION('',(0.,-1.,0.)); +#22431=DIRECTION('',(0.,0.,-1.)); +#22432=DIRECTION('center_axis',(0.,1.,0.)); +#22433=DIRECTION('ref_axis',(0.,0.,1.)); +#22434=DIRECTION('',(-1.,0.,0.)); +#22435=DIRECTION('',(0.,0.,1.)); +#22436=DIRECTION('',(1.,0.,0.)); +#22437=DIRECTION('center_axis',(-1.,0.,0.)); +#22438=DIRECTION('ref_axis',(0.,0.,1.)); +#22439=DIRECTION('',(0.,-1.,0.)); +#22440=DIRECTION('',(0.,0.,1.)); +#22441=DIRECTION('',(0.,1.,0.)); +#22442=DIRECTION('center_axis',(0.,0.,-1.)); +#22443=DIRECTION('ref_axis',(2.86807614694832E-14,-1.,0.)); +#22444=DIRECTION('center_axis',(0.,0.,1.)); +#22445=DIRECTION('ref_axis',(1.,0.,0.)); +#22446=DIRECTION('center_axis',(0.,0.,-1.)); +#22447=DIRECTION('ref_axis',(-1.,0.,0.)); +#22448=DIRECTION('center_axis',(0.,0.,-1.)); +#22449=DIRECTION('ref_axis',(1.,0.,0.)); +#22450=DIRECTION('center_axis',(0.,0.,1.)); +#22451=DIRECTION('ref_axis',(-1.,0.,0.)); +#22452=DIRECTION('center_axis',(1.,0.,0.)); +#22453=DIRECTION('ref_axis',(0.,0.,-1.)); +#22454=DIRECTION('',(0.,1.,0.)); +#22455=DIRECTION('',(0.,0.,1.)); +#22456=DIRECTION('',(0.,-1.,0.)); +#22457=DIRECTION('',(0.,0.,-1.)); +#22458=DIRECTION('center_axis',(0.,1.,0.)); +#22459=DIRECTION('ref_axis',(0.,0.,1.)); +#22460=DIRECTION('',(-1.,0.,0.)); +#22461=DIRECTION('',(0.,0.,1.)); +#22462=DIRECTION('',(1.,0.,0.)); +#22463=DIRECTION('center_axis',(-1.,0.,0.)); +#22464=DIRECTION('ref_axis',(0.,0.,1.)); +#22465=DIRECTION('',(0.,-1.,0.)); +#22466=DIRECTION('',(0.,0.,1.)); +#22467=DIRECTION('',(0.,1.,0.)); +#22468=DIRECTION('center_axis',(0.,0.,-1.)); +#22469=DIRECTION('ref_axis',(2.86807614694831E-14,-1.,0.)); +#22470=DIRECTION('center_axis',(0.,0.,1.)); +#22471=DIRECTION('ref_axis',(1.,-1.85037170770859E-15,0.)); +#22472=DIRECTION('center_axis',(0.,0.,-1.)); +#22473=DIRECTION('ref_axis',(-1.,-1.85037170770859E-15,0.)); +#22474=DIRECTION('center_axis',(0.,0.,-1.)); +#22475=DIRECTION('ref_axis',(1.,0.,0.)); +#22476=DIRECTION('center_axis',(0.,0.,1.)); +#22477=DIRECTION('ref_axis',(-1.,0.,0.)); +#22478=DIRECTION('center_axis',(1.,0.,0.)); +#22479=DIRECTION('ref_axis',(0.,0.,-1.)); +#22480=DIRECTION('',(0.,1.,0.)); +#22481=DIRECTION('',(0.,0.,1.)); +#22482=DIRECTION('',(0.,-1.,0.)); +#22483=DIRECTION('',(0.,0.,-1.)); +#22484=DIRECTION('center_axis',(0.,1.,0.)); +#22485=DIRECTION('ref_axis',(0.,0.,1.)); +#22486=DIRECTION('',(-1.,0.,0.)); +#22487=DIRECTION('',(0.,0.,1.)); +#22488=DIRECTION('',(1.,0.,0.)); +#22489=DIRECTION('center_axis',(-1.,0.,0.)); +#22490=DIRECTION('ref_axis',(0.,0.,1.)); +#22491=DIRECTION('',(0.,-1.,0.)); +#22492=DIRECTION('',(0.,0.,1.)); +#22493=DIRECTION('',(0.,1.,0.)); +#22494=DIRECTION('center_axis',(0.,0.,-1.)); +#22495=DIRECTION('ref_axis',(2.96059473233374E-14,-1.,0.)); +#22496=DIRECTION('center_axis',(0.,0.,1.)); +#22497=DIRECTION('ref_axis',(1.,-1.85037170770859E-15,0.)); +#22498=DIRECTION('center_axis',(0.,0.,-1.)); +#22499=DIRECTION('ref_axis',(-1.,-1.8503717077086E-15,0.)); +#22500=DIRECTION('center_axis',(0.,0.,-1.)); +#22501=DIRECTION('ref_axis',(1.,0.,0.)); +#22502=DIRECTION('center_axis',(0.,0.,1.)); +#22503=DIRECTION('ref_axis',(-1.,0.,0.)); +#22504=DIRECTION('center_axis',(1.,0.,0.)); +#22505=DIRECTION('ref_axis',(0.,0.,-1.)); +#22506=DIRECTION('',(0.,1.,0.)); +#22507=DIRECTION('',(0.,0.,1.)); +#22508=DIRECTION('',(0.,-1.,0.)); +#22509=DIRECTION('',(0.,0.,-1.)); +#22510=DIRECTION('center_axis',(0.,1.,0.)); +#22511=DIRECTION('ref_axis',(0.,0.,1.)); +#22512=DIRECTION('',(-1.,0.,0.)); +#22513=DIRECTION('',(0.,0.,1.)); +#22514=DIRECTION('',(1.,0.,0.)); +#22515=DIRECTION('center_axis',(-1.,0.,0.)); +#22516=DIRECTION('ref_axis',(0.,0.,1.)); +#22517=DIRECTION('',(0.,-1.,0.)); +#22518=DIRECTION('',(0.,0.,1.)); +#22519=DIRECTION('',(0.,1.,0.)); +#22520=DIRECTION('center_axis',(0.,0.,-1.)); +#22521=DIRECTION('ref_axis',(2.86807614694832E-14,-1.,0.)); +#22522=DIRECTION('center_axis',(0.,0.,1.)); +#22523=DIRECTION('ref_axis',(1.,0.,0.)); +#22524=DIRECTION('center_axis',(0.,0.,-1.)); +#22525=DIRECTION('ref_axis',(-1.,0.,0.)); +#22526=DIRECTION('center_axis',(0.,0.,-1.)); +#22527=DIRECTION('ref_axis',(1.,0.,0.)); +#22528=DIRECTION('center_axis',(0.,0.,1.)); +#22529=DIRECTION('ref_axis',(-1.,0.,0.)); +#22530=DIRECTION('center_axis',(1.,0.,0.)); +#22531=DIRECTION('ref_axis',(0.,0.,-1.)); +#22532=DIRECTION('',(0.,1.,0.)); +#22533=DIRECTION('',(0.,0.,1.)); +#22534=DIRECTION('',(0.,-1.,0.)); +#22535=DIRECTION('',(0.,0.,-1.)); +#22536=DIRECTION('center_axis',(0.,1.,0.)); +#22537=DIRECTION('ref_axis',(0.,0.,1.)); +#22538=DIRECTION('',(-1.,0.,0.)); +#22539=DIRECTION('',(0.,0.,1.)); +#22540=DIRECTION('',(1.,0.,0.)); +#22541=DIRECTION('center_axis',(-1.,0.,0.)); +#22542=DIRECTION('ref_axis',(0.,0.,1.)); +#22543=DIRECTION('',(0.,-1.,0.)); +#22544=DIRECTION('',(0.,0.,1.)); +#22545=DIRECTION('',(0.,1.,0.)); +#22546=DIRECTION('center_axis',(0.,0.,-1.)); +#22547=DIRECTION('ref_axis',(2.86807614694831E-14,-1.,0.)); +#22548=DIRECTION('center_axis',(0.,0.,1.)); +#22549=DIRECTION('ref_axis',(1.,-1.85037170770859E-15,0.)); +#22550=DIRECTION('center_axis',(0.,0.,-1.)); +#22551=DIRECTION('ref_axis',(-1.,-1.85037170770859E-15,0.)); +#22552=DIRECTION('center_axis',(0.,0.,-1.)); +#22553=DIRECTION('ref_axis',(1.,0.,0.)); +#22554=DIRECTION('center_axis',(0.,0.,1.)); +#22555=DIRECTION('ref_axis',(-1.,0.,0.)); +#22556=DIRECTION('center_axis',(1.,0.,0.)); +#22557=DIRECTION('ref_axis',(0.,0.,-1.)); +#22558=DIRECTION('',(0.,1.,0.)); +#22559=DIRECTION('',(0.,0.,1.)); +#22560=DIRECTION('',(0.,-1.,0.)); +#22561=DIRECTION('',(0.,0.,-1.)); +#22562=DIRECTION('center_axis',(0.,1.,0.)); +#22563=DIRECTION('ref_axis',(0.,0.,1.)); +#22564=DIRECTION('',(-1.,0.,0.)); +#22565=DIRECTION('',(0.,0.,1.)); +#22566=DIRECTION('',(1.,0.,0.)); +#22567=DIRECTION('center_axis',(-1.,0.,0.)); +#22568=DIRECTION('ref_axis',(0.,0.,1.)); +#22569=DIRECTION('',(0.,-1.,0.)); +#22570=DIRECTION('',(0.,0.,1.)); +#22571=DIRECTION('',(0.,1.,0.)); +#22572=DIRECTION('center_axis',(0.,0.,-1.)); +#22573=DIRECTION('ref_axis',(2.87850284092025E-14,-1.,0.)); +#22574=DIRECTION('center_axis',(0.,0.,1.)); +#22575=DIRECTION('ref_axis',(1.,-1.85037170770859E-15,0.)); +#22576=DIRECTION('center_axis',(0.,0.,-1.)); +#22577=DIRECTION('ref_axis',(-1.,-1.85037170770859E-15,0.)); +#22578=DIRECTION('center_axis',(0.,0.,-1.)); +#22579=DIRECTION('ref_axis',(1.,0.,0.)); +#22580=DIRECTION('center_axis',(0.,0.,1.)); +#22581=DIRECTION('ref_axis',(-1.,0.,0.)); +#22582=DIRECTION('center_axis',(0.,1.,0.)); +#22583=DIRECTION('ref_axis',(0.,0.,1.)); +#22584=DIRECTION('',(-1.,0.,0.)); +#22585=DIRECTION('',(0.,0.,1.)); +#22586=DIRECTION('',(1.,0.,0.)); +#22587=DIRECTION('',(0.,0.,-1.)); +#22588=DIRECTION('center_axis',(-1.,0.,0.)); +#22589=DIRECTION('ref_axis',(0.,0.,1.)); +#22590=DIRECTION('',(0.,-1.,0.)); +#22591=DIRECTION('',(0.,0.,1.)); +#22592=DIRECTION('',(0.,1.,0.)); +#22593=DIRECTION('center_axis',(0.,-1.,0.)); +#22594=DIRECTION('ref_axis',(0.,0.,-1.)); +#22595=DIRECTION('',(1.,0.,0.)); +#22596=DIRECTION('',(0.,0.,1.)); +#22597=DIRECTION('',(-1.,0.,0.)); +#22598=DIRECTION('center_axis',(0.,0.,-1.)); +#22599=DIRECTION('ref_axis',(1.,2.96059473233375E-14,0.)); +#22600=DIRECTION('center_axis',(0.,0.,1.)); +#22601=DIRECTION('ref_axis',(1.8503717077086E-15,1.,0.)); +#22602=DIRECTION('center_axis',(0.,0.,-1.)); +#22603=DIRECTION('ref_axis',(1.85037170770859E-15,-1.,0.)); +#22604=DIRECTION('center_axis',(0.,0.,-1.)); +#22605=DIRECTION('ref_axis',(1.,0.,0.)); +#22606=DIRECTION('center_axis',(0.,0.,1.)); +#22607=DIRECTION('ref_axis',(-1.,0.,0.)); +#22608=DIRECTION('center_axis',(0.,1.,0.)); +#22609=DIRECTION('ref_axis',(0.,0.,1.)); +#22610=DIRECTION('',(-1.,0.,0.)); +#22611=DIRECTION('',(0.,0.,1.)); +#22612=DIRECTION('',(1.,0.,0.)); +#22613=DIRECTION('',(0.,0.,-1.)); +#22614=DIRECTION('center_axis',(-1.,0.,0.)); +#22615=DIRECTION('ref_axis',(0.,0.,1.)); +#22616=DIRECTION('',(0.,-1.,0.)); +#22617=DIRECTION('',(0.,0.,1.)); +#22618=DIRECTION('',(0.,1.,0.)); +#22619=DIRECTION('center_axis',(0.,-1.,0.)); +#22620=DIRECTION('ref_axis',(0.,0.,-1.)); +#22621=DIRECTION('',(1.,0.,0.)); +#22622=DIRECTION('',(0.,0.,1.)); +#22623=DIRECTION('',(-1.,0.,0.)); +#22624=DIRECTION('center_axis',(0.,0.,-1.)); +#22625=DIRECTION('ref_axis',(1.,2.86807614694832E-14,0.)); +#22626=DIRECTION('center_axis',(0.,0.,1.)); +#22627=DIRECTION('ref_axis',(0.,1.,0.)); +#22628=DIRECTION('center_axis',(0.,0.,-1.)); +#22629=DIRECTION('ref_axis',(0.,-1.,0.)); +#22630=DIRECTION('center_axis',(0.,0.,-1.)); +#22631=DIRECTION('ref_axis',(1.,0.,0.)); +#22632=DIRECTION('center_axis',(0.,0.,1.)); +#22633=DIRECTION('ref_axis',(-1.,0.,0.)); +#22634=DIRECTION('center_axis',(0.,1.,0.)); +#22635=DIRECTION('ref_axis',(0.,0.,1.)); +#22636=DIRECTION('',(-1.,0.,0.)); +#22637=DIRECTION('',(0.,0.,1.)); +#22638=DIRECTION('',(1.,0.,0.)); +#22639=DIRECTION('',(0.,0.,-1.)); +#22640=DIRECTION('center_axis',(-1.,0.,0.)); +#22641=DIRECTION('ref_axis',(0.,0.,1.)); +#22642=DIRECTION('',(0.,-1.,0.)); +#22643=DIRECTION('',(0.,0.,1.)); +#22644=DIRECTION('',(0.,1.,0.)); +#22645=DIRECTION('center_axis',(0.,-1.,0.)); +#22646=DIRECTION('ref_axis',(0.,0.,-1.)); +#22647=DIRECTION('',(1.,0.,0.)); +#22648=DIRECTION('',(0.,0.,1.)); +#22649=DIRECTION('',(-1.,0.,0.)); +#22650=DIRECTION('center_axis',(0.,0.,-1.)); +#22651=DIRECTION('ref_axis',(1.,2.86807614694831E-14,0.)); +#22652=DIRECTION('center_axis',(0.,0.,1.)); +#22653=DIRECTION('ref_axis',(1.85037170770859E-15,1.,0.)); +#22654=DIRECTION('center_axis',(0.,0.,-1.)); +#22655=DIRECTION('ref_axis',(1.85037170770859E-15,-1.,0.)); +#22656=DIRECTION('center_axis',(0.,0.,-1.)); +#22657=DIRECTION('ref_axis',(1.,0.,0.)); +#22658=DIRECTION('center_axis',(0.,0.,1.)); +#22659=DIRECTION('ref_axis',(-1.,0.,0.)); +#22660=DIRECTION('center_axis',(0.,1.,0.)); +#22661=DIRECTION('ref_axis',(0.,0.,1.)); +#22662=DIRECTION('',(-1.,0.,0.)); +#22663=DIRECTION('',(0.,0.,1.)); +#22664=DIRECTION('',(1.,0.,0.)); +#22665=DIRECTION('',(0.,0.,-1.)); +#22666=DIRECTION('center_axis',(-1.,0.,0.)); +#22667=DIRECTION('ref_axis',(0.,0.,1.)); +#22668=DIRECTION('',(0.,-1.,0.)); +#22669=DIRECTION('',(0.,0.,1.)); +#22670=DIRECTION('',(0.,1.,0.)); +#22671=DIRECTION('center_axis',(0.,-1.,0.)); +#22672=DIRECTION('ref_axis',(0.,0.,-1.)); +#22673=DIRECTION('',(1.,0.,0.)); +#22674=DIRECTION('',(0.,0.,1.)); +#22675=DIRECTION('',(-1.,0.,0.)); +#22676=DIRECTION('center_axis',(0.,0.,-1.)); +#22677=DIRECTION('ref_axis',(1.,2.96059473233374E-14,0.)); +#22678=DIRECTION('center_axis',(0.,0.,1.)); +#22679=DIRECTION('ref_axis',(1.85037170770859E-15,1.,0.)); +#22680=DIRECTION('center_axis',(0.,0.,-1.)); +#22681=DIRECTION('ref_axis',(1.8503717077086E-15,-1.,0.)); +#22682=DIRECTION('center_axis',(0.,0.,-1.)); +#22683=DIRECTION('ref_axis',(1.,0.,0.)); +#22684=DIRECTION('center_axis',(0.,0.,1.)); +#22685=DIRECTION('ref_axis',(-1.,0.,0.)); +#22686=DIRECTION('center_axis',(0.,1.,0.)); +#22687=DIRECTION('ref_axis',(0.,0.,1.)); +#22688=DIRECTION('',(-1.,0.,0.)); +#22689=DIRECTION('',(0.,0.,1.)); +#22690=DIRECTION('',(1.,0.,0.)); +#22691=DIRECTION('',(0.,0.,-1.)); +#22692=DIRECTION('center_axis',(-1.,0.,0.)); +#22693=DIRECTION('ref_axis',(0.,0.,1.)); +#22694=DIRECTION('',(0.,-1.,0.)); +#22695=DIRECTION('',(0.,0.,1.)); +#22696=DIRECTION('',(0.,1.,0.)); +#22697=DIRECTION('center_axis',(0.,-1.,0.)); +#22698=DIRECTION('ref_axis',(0.,0.,-1.)); +#22699=DIRECTION('',(1.,0.,0.)); +#22700=DIRECTION('',(0.,0.,1.)); +#22701=DIRECTION('',(-1.,0.,0.)); +#22702=DIRECTION('center_axis',(0.,0.,-1.)); +#22703=DIRECTION('ref_axis',(1.,2.86807614694832E-14,0.)); +#22704=DIRECTION('center_axis',(0.,0.,1.)); +#22705=DIRECTION('ref_axis',(0.,1.,0.)); +#22706=DIRECTION('center_axis',(0.,0.,-1.)); +#22707=DIRECTION('ref_axis',(0.,-1.,0.)); +#22708=DIRECTION('center_axis',(0.,0.,-1.)); +#22709=DIRECTION('ref_axis',(1.,0.,0.)); +#22710=DIRECTION('center_axis',(0.,0.,1.)); +#22711=DIRECTION('ref_axis',(-1.,0.,0.)); +#22712=DIRECTION('center_axis',(0.,1.,0.)); +#22713=DIRECTION('ref_axis',(0.,0.,1.)); +#22714=DIRECTION('',(-1.,0.,0.)); +#22715=DIRECTION('',(0.,0.,1.)); +#22716=DIRECTION('',(1.,0.,0.)); +#22717=DIRECTION('',(0.,0.,-1.)); +#22718=DIRECTION('center_axis',(-1.,0.,0.)); +#22719=DIRECTION('ref_axis',(0.,0.,1.)); +#22720=DIRECTION('',(0.,-1.,0.)); +#22721=DIRECTION('',(0.,0.,1.)); +#22722=DIRECTION('',(0.,1.,0.)); +#22723=DIRECTION('center_axis',(0.,-1.,0.)); +#22724=DIRECTION('ref_axis',(0.,0.,-1.)); +#22725=DIRECTION('',(1.,0.,0.)); +#22726=DIRECTION('',(0.,0.,1.)); +#22727=DIRECTION('',(-1.,0.,0.)); +#22728=DIRECTION('center_axis',(0.,0.,-1.)); +#22729=DIRECTION('ref_axis',(1.,2.86807614694831E-14,0.)); +#22730=DIRECTION('center_axis',(0.,0.,1.)); +#22731=DIRECTION('ref_axis',(1.85037170770859E-15,1.,0.)); +#22732=DIRECTION('center_axis',(0.,0.,-1.)); +#22733=DIRECTION('ref_axis',(1.85037170770859E-15,-1.,0.)); +#22734=DIRECTION('center_axis',(0.,0.,-1.)); +#22735=DIRECTION('ref_axis',(1.,0.,0.)); +#22736=DIRECTION('center_axis',(0.,0.,1.)); +#22737=DIRECTION('ref_axis',(-1.,0.,0.)); +#22738=DIRECTION('center_axis',(0.,1.,0.)); +#22739=DIRECTION('ref_axis',(0.,0.,1.)); +#22740=DIRECTION('',(-1.,0.,0.)); +#22741=DIRECTION('',(0.,0.,1.)); +#22742=DIRECTION('',(1.,0.,0.)); +#22743=DIRECTION('',(0.,0.,-1.)); +#22744=DIRECTION('center_axis',(-1.,0.,0.)); +#22745=DIRECTION('ref_axis',(0.,0.,1.)); +#22746=DIRECTION('',(0.,-1.,0.)); +#22747=DIRECTION('',(0.,0.,1.)); +#22748=DIRECTION('',(0.,1.,0.)); +#22749=DIRECTION('center_axis',(0.,-1.,0.)); +#22750=DIRECTION('ref_axis',(0.,0.,-1.)); +#22751=DIRECTION('',(1.,0.,0.)); +#22752=DIRECTION('',(0.,0.,1.)); +#22753=DIRECTION('',(-1.,0.,0.)); +#22754=DIRECTION('center_axis',(0.,0.,-1.)); +#22755=DIRECTION('ref_axis',(1.,2.87850284092026E-14,0.)); +#22756=DIRECTION('center_axis',(0.,0.,1.)); +#22757=DIRECTION('ref_axis',(1.85037170770859E-15,1.,0.)); +#22758=DIRECTION('center_axis',(0.,0.,-1.)); +#22759=DIRECTION('ref_axis',(0.,-1.,0.)); +#22760=DIRECTION('center_axis',(0.,0.,-1.)); +#22761=DIRECTION('ref_axis',(1.,0.,0.)); +#22762=DIRECTION('center_axis',(0.,0.,1.)); +#22763=DIRECTION('ref_axis',(-1.,0.,0.)); +#22764=DIRECTION('center_axis',(0.,-1.,0.)); +#22765=DIRECTION('ref_axis',(0.,0.,-1.)); +#22766=DIRECTION('',(1.,0.,0.)); +#22767=DIRECTION('',(0.,0.,1.)); +#22768=DIRECTION('',(-1.,0.,0.)); +#22769=DIRECTION('',(0.,0.,-1.)); +#22770=DIRECTION('center_axis',(1.,0.,0.)); +#22771=DIRECTION('ref_axis',(0.,0.,-1.)); +#22772=DIRECTION('',(0.,1.,0.)); +#22773=DIRECTION('',(0.,0.,1.)); +#22774=DIRECTION('',(0.,-1.,0.)); +#22775=DIRECTION('center_axis',(0.,1.,0.)); +#22776=DIRECTION('ref_axis',(0.,0.,1.)); +#22777=DIRECTION('',(-1.,0.,0.)); +#22778=DIRECTION('',(0.,0.,1.)); +#22779=DIRECTION('',(1.,0.,0.)); +#22780=DIRECTION('center_axis',(0.,0.,-1.)); +#22781=DIRECTION('ref_axis',(-1.,-2.96059473233375E-14,0.)); +#22782=DIRECTION('center_axis',(0.,0.,1.)); +#22783=DIRECTION('ref_axis',(-1.8503717077086E-15,-1.,0.)); +#22784=DIRECTION('center_axis',(0.,0.,-1.)); +#22785=DIRECTION('ref_axis',(-1.85037170770859E-15,1.,0.)); +#22786=DIRECTION('center_axis',(0.,0.,-1.)); +#22787=DIRECTION('ref_axis',(1.,0.,0.)); +#22788=DIRECTION('center_axis',(0.,0.,1.)); +#22789=DIRECTION('ref_axis',(-1.,0.,0.)); +#22790=DIRECTION('center_axis',(0.,-1.,0.)); +#22791=DIRECTION('ref_axis',(0.,0.,-1.)); +#22792=DIRECTION('',(1.,0.,0.)); +#22793=DIRECTION('',(0.,0.,1.)); +#22794=DIRECTION('',(-1.,0.,0.)); +#22795=DIRECTION('',(0.,0.,-1.)); +#22796=DIRECTION('center_axis',(1.,0.,0.)); +#22797=DIRECTION('ref_axis',(0.,0.,-1.)); +#22798=DIRECTION('',(0.,1.,0.)); +#22799=DIRECTION('',(0.,0.,1.)); +#22800=DIRECTION('',(0.,-1.,0.)); +#22801=DIRECTION('center_axis',(0.,1.,0.)); +#22802=DIRECTION('ref_axis',(0.,0.,1.)); +#22803=DIRECTION('',(-1.,0.,0.)); +#22804=DIRECTION('',(0.,0.,1.)); +#22805=DIRECTION('',(1.,0.,0.)); +#22806=DIRECTION('center_axis',(0.,0.,-1.)); +#22807=DIRECTION('ref_axis',(-1.,-2.86807614694832E-14,0.)); +#22808=DIRECTION('center_axis',(0.,0.,1.)); +#22809=DIRECTION('ref_axis',(0.,-1.,0.)); +#22810=DIRECTION('center_axis',(0.,0.,-1.)); +#22811=DIRECTION('ref_axis',(0.,1.,0.)); +#22812=DIRECTION('center_axis',(0.,0.,-1.)); +#22813=DIRECTION('ref_axis',(1.,0.,0.)); +#22814=DIRECTION('center_axis',(0.,0.,1.)); +#22815=DIRECTION('ref_axis',(-1.,0.,0.)); +#22816=DIRECTION('center_axis',(0.,-1.,0.)); +#22817=DIRECTION('ref_axis',(0.,0.,-1.)); +#22818=DIRECTION('',(1.,0.,0.)); +#22819=DIRECTION('',(0.,0.,1.)); +#22820=DIRECTION('',(-1.,0.,0.)); +#22821=DIRECTION('',(0.,0.,-1.)); +#22822=DIRECTION('center_axis',(1.,0.,0.)); +#22823=DIRECTION('ref_axis',(0.,0.,-1.)); +#22824=DIRECTION('',(0.,1.,0.)); +#22825=DIRECTION('',(0.,0.,1.)); +#22826=DIRECTION('',(0.,-1.,0.)); +#22827=DIRECTION('center_axis',(0.,1.,0.)); +#22828=DIRECTION('ref_axis',(0.,0.,1.)); +#22829=DIRECTION('',(-1.,0.,0.)); +#22830=DIRECTION('',(0.,0.,1.)); +#22831=DIRECTION('',(1.,0.,0.)); +#22832=DIRECTION('center_axis',(0.,0.,-1.)); +#22833=DIRECTION('ref_axis',(-1.,-2.86807614694831E-14,0.)); +#22834=DIRECTION('center_axis',(0.,0.,1.)); +#22835=DIRECTION('ref_axis',(-1.85037170770859E-15,-1.,0.)); +#22836=DIRECTION('center_axis',(0.,0.,-1.)); +#22837=DIRECTION('ref_axis',(-1.85037170770859E-15,1.,0.)); +#22838=DIRECTION('center_axis',(0.,0.,-1.)); +#22839=DIRECTION('ref_axis',(1.,0.,0.)); +#22840=DIRECTION('center_axis',(0.,0.,1.)); +#22841=DIRECTION('ref_axis',(-1.,0.,0.)); +#22842=DIRECTION('center_axis',(0.,-1.,0.)); +#22843=DIRECTION('ref_axis',(0.,0.,-1.)); +#22844=DIRECTION('',(1.,0.,0.)); +#22845=DIRECTION('',(0.,0.,1.)); +#22846=DIRECTION('',(-1.,0.,0.)); +#22847=DIRECTION('',(0.,0.,-1.)); +#22848=DIRECTION('center_axis',(1.,0.,0.)); +#22849=DIRECTION('ref_axis',(0.,0.,-1.)); +#22850=DIRECTION('',(0.,1.,0.)); +#22851=DIRECTION('',(0.,0.,1.)); +#22852=DIRECTION('',(0.,-1.,0.)); +#22853=DIRECTION('center_axis',(0.,1.,0.)); +#22854=DIRECTION('ref_axis',(0.,0.,1.)); +#22855=DIRECTION('',(-1.,0.,0.)); +#22856=DIRECTION('',(0.,0.,1.)); +#22857=DIRECTION('',(1.,0.,0.)); +#22858=DIRECTION('center_axis',(0.,0.,-1.)); +#22859=DIRECTION('ref_axis',(-1.,-2.87850284092026E-14,0.)); +#22860=DIRECTION('center_axis',(0.,0.,1.)); +#22861=DIRECTION('ref_axis',(-1.85037170770859E-15,-1.,0.)); +#22862=DIRECTION('center_axis',(0.,0.,-1.)); +#22863=DIRECTION('ref_axis',(0.,1.,0.)); +#22864=DIRECTION('center_axis',(0.,0.,-1.)); +#22865=DIRECTION('ref_axis',(1.,0.,0.)); +#22866=DIRECTION('center_axis',(0.,0.,1.)); +#22867=DIRECTION('ref_axis',(-1.,0.,0.)); +#22868=DIRECTION('center_axis',(0.,-1.,0.)); +#22869=DIRECTION('ref_axis',(0.,0.,-1.)); +#22870=DIRECTION('',(1.,0.,0.)); +#22871=DIRECTION('',(0.,0.,1.)); +#22872=DIRECTION('',(-1.,0.,0.)); +#22873=DIRECTION('',(0.,0.,-1.)); +#22874=DIRECTION('center_axis',(1.,0.,0.)); +#22875=DIRECTION('ref_axis',(0.,0.,-1.)); +#22876=DIRECTION('',(0.,1.,0.)); +#22877=DIRECTION('',(0.,0.,1.)); +#22878=DIRECTION('',(0.,-1.,0.)); +#22879=DIRECTION('center_axis',(0.,1.,0.)); +#22880=DIRECTION('ref_axis',(0.,0.,1.)); +#22881=DIRECTION('',(-1.,0.,0.)); +#22882=DIRECTION('',(0.,0.,1.)); +#22883=DIRECTION('',(1.,0.,0.)); +#22884=DIRECTION('center_axis',(0.,0.,-1.)); +#22885=DIRECTION('ref_axis',(-1.,-2.96059473233374E-14,0.)); +#22886=DIRECTION('center_axis',(0.,0.,1.)); +#22887=DIRECTION('ref_axis',(-1.85037170770859E-15,-1.,0.)); +#22888=DIRECTION('center_axis',(0.,0.,-1.)); +#22889=DIRECTION('ref_axis',(-1.8503717077086E-15,1.,0.)); +#22890=DIRECTION('center_axis',(0.,0.,-1.)); +#22891=DIRECTION('ref_axis',(1.,0.,0.)); +#22892=DIRECTION('center_axis',(0.,0.,1.)); +#22893=DIRECTION('ref_axis',(-1.,0.,0.)); +#22894=DIRECTION('center_axis',(0.,-1.,0.)); +#22895=DIRECTION('ref_axis',(0.,0.,-1.)); +#22896=DIRECTION('',(1.,0.,0.)); +#22897=DIRECTION('',(0.,0.,1.)); +#22898=DIRECTION('',(-1.,0.,0.)); +#22899=DIRECTION('',(0.,0.,-1.)); +#22900=DIRECTION('center_axis',(1.,0.,0.)); +#22901=DIRECTION('ref_axis',(0.,0.,-1.)); +#22902=DIRECTION('',(0.,1.,0.)); +#22903=DIRECTION('',(0.,0.,1.)); +#22904=DIRECTION('',(0.,-1.,0.)); +#22905=DIRECTION('center_axis',(0.,1.,0.)); +#22906=DIRECTION('ref_axis',(0.,0.,1.)); +#22907=DIRECTION('',(-1.,0.,0.)); +#22908=DIRECTION('',(0.,0.,1.)); +#22909=DIRECTION('',(1.,0.,0.)); +#22910=DIRECTION('center_axis',(0.,0.,-1.)); +#22911=DIRECTION('ref_axis',(-1.,-2.86807614694832E-14,0.)); +#22912=DIRECTION('center_axis',(0.,0.,1.)); +#22913=DIRECTION('ref_axis',(0.,-1.,0.)); +#22914=DIRECTION('center_axis',(0.,0.,-1.)); +#22915=DIRECTION('ref_axis',(0.,1.,0.)); +#22916=DIRECTION('center_axis',(0.,0.,-1.)); +#22917=DIRECTION('ref_axis',(1.,0.,0.)); +#22918=DIRECTION('center_axis',(0.,0.,1.)); +#22919=DIRECTION('ref_axis',(-1.,0.,0.)); +#22920=DIRECTION('center_axis',(0.,-1.,0.)); +#22921=DIRECTION('ref_axis',(0.,0.,-1.)); +#22922=DIRECTION('',(1.,0.,0.)); +#22923=DIRECTION('',(0.,0.,1.)); +#22924=DIRECTION('',(-1.,0.,0.)); +#22925=DIRECTION('',(0.,0.,-1.)); +#22926=DIRECTION('center_axis',(1.,0.,0.)); +#22927=DIRECTION('ref_axis',(0.,0.,-1.)); +#22928=DIRECTION('',(0.,1.,0.)); +#22929=DIRECTION('',(0.,0.,1.)); +#22930=DIRECTION('',(0.,-1.,0.)); +#22931=DIRECTION('center_axis',(0.,1.,0.)); +#22932=DIRECTION('ref_axis',(0.,0.,1.)); +#22933=DIRECTION('',(-1.,0.,0.)); +#22934=DIRECTION('',(0.,0.,1.)); +#22935=DIRECTION('',(1.,0.,0.)); +#22936=DIRECTION('center_axis',(0.,0.,-1.)); +#22937=DIRECTION('ref_axis',(-1.,-2.86807614694831E-14,0.)); +#22938=DIRECTION('center_axis',(0.,0.,1.)); +#22939=DIRECTION('ref_axis',(-1.85037170770859E-15,-1.,0.)); +#22940=DIRECTION('center_axis',(0.,0.,-1.)); +#22941=DIRECTION('ref_axis',(-1.85037170770859E-15,1.,0.)); +#22942=DIRECTION('center_axis',(0.,0.,-1.)); +#22943=DIRECTION('ref_axis',(1.,0.,0.)); +#22944=DIRECTION('center_axis',(0.,0.,1.)); +#22945=DIRECTION('ref_axis',(-1.,0.,0.)); +#22946=DIRECTION('center_axis',(-1.,0.,0.)); +#22947=DIRECTION('ref_axis',(0.,0.,1.)); +#22948=DIRECTION('',(0.,-1.,0.)); +#22949=DIRECTION('',(0.,0.,1.)); +#22950=DIRECTION('',(0.,1.,0.)); +#22951=DIRECTION('',(0.,0.,-1.)); +#22952=DIRECTION('center_axis',(0.,-1.,0.)); +#22953=DIRECTION('ref_axis',(0.,0.,-1.)); +#22954=DIRECTION('',(1.,0.,0.)); +#22955=DIRECTION('',(0.,0.,1.)); +#22956=DIRECTION('',(-1.,0.,0.)); +#22957=DIRECTION('center_axis',(1.,0.,0.)); +#22958=DIRECTION('ref_axis',(0.,0.,-1.)); +#22959=DIRECTION('',(0.,1.,0.)); +#22960=DIRECTION('',(0.,0.,1.)); +#22961=DIRECTION('',(0.,-1.,0.)); +#22962=DIRECTION('center_axis',(0.,0.,-1.)); +#22963=DIRECTION('ref_axis',(-2.96059473233375E-14,1.,0.)); +#22964=DIRECTION('center_axis',(0.,0.,1.)); +#22965=DIRECTION('ref_axis',(-1.,1.8503717077086E-15,0.)); +#22966=DIRECTION('center_axis',(0.,0.,-1.)); +#22967=DIRECTION('ref_axis',(1.,1.85037170770859E-15,0.)); +#22968=DIRECTION('center_axis',(0.,0.,-1.)); +#22969=DIRECTION('ref_axis',(1.,0.,0.)); +#22970=DIRECTION('center_axis',(0.,0.,1.)); +#22971=DIRECTION('ref_axis',(-1.,0.,0.)); +#22972=DIRECTION('center_axis',(-1.,0.,0.)); +#22973=DIRECTION('ref_axis',(0.,0.,1.)); +#22974=DIRECTION('',(0.,-1.,0.)); +#22975=DIRECTION('',(0.,0.,1.)); +#22976=DIRECTION('',(0.,1.,0.)); +#22977=DIRECTION('',(0.,0.,-1.)); +#22978=DIRECTION('center_axis',(0.,-1.,0.)); +#22979=DIRECTION('ref_axis',(0.,0.,-1.)); +#22980=DIRECTION('',(1.,0.,0.)); +#22981=DIRECTION('',(0.,0.,1.)); +#22982=DIRECTION('',(-1.,0.,0.)); +#22983=DIRECTION('center_axis',(1.,0.,0.)); +#22984=DIRECTION('ref_axis',(0.,0.,-1.)); +#22985=DIRECTION('',(0.,1.,0.)); +#22986=DIRECTION('',(0.,0.,1.)); +#22987=DIRECTION('',(0.,-1.,0.)); +#22988=DIRECTION('center_axis',(0.,0.,-1.)); +#22989=DIRECTION('ref_axis',(-2.86807614694832E-14,1.,0.)); +#22990=DIRECTION('center_axis',(0.,0.,1.)); +#22991=DIRECTION('ref_axis',(-1.,0.,0.)); +#22992=DIRECTION('center_axis',(0.,0.,-1.)); +#22993=DIRECTION('ref_axis',(1.,0.,0.)); +#22994=DIRECTION('center_axis',(0.,0.,-1.)); +#22995=DIRECTION('ref_axis',(1.,0.,0.)); +#22996=DIRECTION('center_axis',(0.,0.,1.)); +#22997=DIRECTION('ref_axis',(-1.,0.,0.)); +#22998=DIRECTION('center_axis',(-1.,0.,0.)); +#22999=DIRECTION('ref_axis',(0.,0.,1.)); +#23000=DIRECTION('',(0.,-1.,0.)); +#23001=DIRECTION('',(0.,0.,1.)); +#23002=DIRECTION('',(0.,1.,0.)); +#23003=DIRECTION('',(0.,0.,-1.)); +#23004=DIRECTION('center_axis',(0.,-1.,0.)); +#23005=DIRECTION('ref_axis',(0.,0.,-1.)); +#23006=DIRECTION('',(1.,0.,0.)); +#23007=DIRECTION('',(0.,0.,1.)); +#23008=DIRECTION('',(-1.,0.,0.)); +#23009=DIRECTION('center_axis',(1.,0.,0.)); +#23010=DIRECTION('ref_axis',(0.,0.,-1.)); +#23011=DIRECTION('',(0.,1.,0.)); +#23012=DIRECTION('',(0.,0.,1.)); +#23013=DIRECTION('',(0.,-1.,0.)); +#23014=DIRECTION('center_axis',(0.,0.,-1.)); +#23015=DIRECTION('ref_axis',(-2.86807614694831E-14,1.,0.)); +#23016=DIRECTION('center_axis',(0.,0.,1.)); +#23017=DIRECTION('ref_axis',(-1.,1.85037170770859E-15,0.)); +#23018=DIRECTION('center_axis',(0.,0.,-1.)); +#23019=DIRECTION('ref_axis',(1.,1.85037170770859E-15,0.)); +#23020=DIRECTION('center_axis',(0.,0.,-1.)); +#23021=DIRECTION('ref_axis',(1.,0.,0.)); +#23022=DIRECTION('center_axis',(0.,0.,1.)); +#23023=DIRECTION('ref_axis',(-1.,0.,0.)); +#23024=DIRECTION('center_axis',(-1.,0.,0.)); +#23025=DIRECTION('ref_axis',(0.,0.,1.)); +#23026=DIRECTION('',(0.,-1.,0.)); +#23027=DIRECTION('',(0.,0.,1.)); +#23028=DIRECTION('',(0.,1.,0.)); +#23029=DIRECTION('',(0.,0.,-1.)); +#23030=DIRECTION('center_axis',(0.,-1.,0.)); +#23031=DIRECTION('ref_axis',(0.,0.,-1.)); +#23032=DIRECTION('',(1.,0.,0.)); +#23033=DIRECTION('',(0.,0.,1.)); +#23034=DIRECTION('',(-1.,0.,0.)); +#23035=DIRECTION('center_axis',(1.,0.,0.)); +#23036=DIRECTION('ref_axis',(0.,0.,-1.)); +#23037=DIRECTION('',(0.,1.,0.)); +#23038=DIRECTION('',(0.,0.,1.)); +#23039=DIRECTION('',(0.,-1.,0.)); +#23040=DIRECTION('center_axis',(0.,0.,-1.)); +#23041=DIRECTION('ref_axis',(-2.87850284092025E-14,1.,0.)); +#23042=DIRECTION('center_axis',(0.,0.,1.)); +#23043=DIRECTION('ref_axis',(-1.,1.85037170770859E-15,0.)); +#23044=DIRECTION('center_axis',(0.,0.,-1.)); +#23045=DIRECTION('ref_axis',(1.,1.85037170770859E-15,0.)); +#23046=DIRECTION('center_axis',(0.,0.,-1.)); +#23047=DIRECTION('ref_axis',(1.,0.,0.)); +#23048=DIRECTION('center_axis',(0.,0.,1.)); +#23049=DIRECTION('ref_axis',(-1.,0.,0.)); +#23050=DIRECTION('center_axis',(-1.,0.,0.)); +#23051=DIRECTION('ref_axis',(0.,0.,1.)); +#23052=DIRECTION('',(0.,-1.,0.)); +#23053=DIRECTION('',(0.,0.,1.)); +#23054=DIRECTION('',(0.,1.,0.)); +#23055=DIRECTION('',(0.,0.,-1.)); +#23056=DIRECTION('center_axis',(0.,-1.,0.)); +#23057=DIRECTION('ref_axis',(0.,0.,-1.)); +#23058=DIRECTION('',(1.,0.,0.)); +#23059=DIRECTION('',(0.,0.,1.)); +#23060=DIRECTION('',(-1.,0.,0.)); +#23061=DIRECTION('center_axis',(1.,0.,0.)); +#23062=DIRECTION('ref_axis',(0.,0.,-1.)); +#23063=DIRECTION('',(0.,1.,0.)); +#23064=DIRECTION('',(0.,0.,1.)); +#23065=DIRECTION('',(0.,-1.,0.)); +#23066=DIRECTION('center_axis',(0.,0.,-1.)); +#23067=DIRECTION('ref_axis',(-2.96059473233374E-14,1.,0.)); +#23068=DIRECTION('center_axis',(0.,0.,1.)); +#23069=DIRECTION('ref_axis',(-1.,1.85037170770859E-15,0.)); +#23070=DIRECTION('center_axis',(0.,0.,-1.)); +#23071=DIRECTION('ref_axis',(1.,1.8503717077086E-15,0.)); +#23072=DIRECTION('center_axis',(0.,0.,-1.)); +#23073=DIRECTION('ref_axis',(1.,0.,0.)); +#23074=DIRECTION('center_axis',(0.,0.,1.)); +#23075=DIRECTION('ref_axis',(-1.,0.,0.)); +#23076=DIRECTION('center_axis',(-1.,0.,0.)); +#23077=DIRECTION('ref_axis',(0.,0.,1.)); +#23078=DIRECTION('',(0.,-1.,0.)); +#23079=DIRECTION('',(0.,0.,1.)); +#23080=DIRECTION('',(0.,1.,0.)); +#23081=DIRECTION('',(0.,0.,-1.)); +#23082=DIRECTION('center_axis',(0.,-1.,0.)); +#23083=DIRECTION('ref_axis',(0.,0.,-1.)); +#23084=DIRECTION('',(1.,0.,0.)); +#23085=DIRECTION('',(0.,0.,1.)); +#23086=DIRECTION('',(-1.,0.,0.)); +#23087=DIRECTION('center_axis',(1.,0.,0.)); +#23088=DIRECTION('ref_axis',(0.,0.,-1.)); +#23089=DIRECTION('',(0.,1.,0.)); +#23090=DIRECTION('',(0.,0.,1.)); +#23091=DIRECTION('',(0.,-1.,0.)); +#23092=DIRECTION('center_axis',(0.,0.,-1.)); +#23093=DIRECTION('ref_axis',(-2.86807614694832E-14,1.,0.)); +#23094=DIRECTION('center_axis',(0.,0.,1.)); +#23095=DIRECTION('ref_axis',(-1.,0.,0.)); +#23096=DIRECTION('center_axis',(0.,0.,-1.)); +#23097=DIRECTION('ref_axis',(1.,0.,0.)); +#23098=DIRECTION('center_axis',(0.,0.,-1.)); +#23099=DIRECTION('ref_axis',(1.,0.,0.)); +#23100=DIRECTION('center_axis',(0.,0.,1.)); +#23101=DIRECTION('ref_axis',(-1.,0.,0.)); +#23102=DIRECTION('center_axis',(-1.,0.,0.)); +#23103=DIRECTION('ref_axis',(0.,0.,1.)); +#23104=DIRECTION('',(0.,-1.,0.)); +#23105=DIRECTION('',(0.,0.,1.)); +#23106=DIRECTION('',(0.,1.,0.)); +#23107=DIRECTION('',(0.,0.,-1.)); +#23108=DIRECTION('center_axis',(0.,-1.,0.)); +#23109=DIRECTION('ref_axis',(0.,0.,-1.)); +#23110=DIRECTION('',(1.,0.,0.)); +#23111=DIRECTION('',(0.,0.,1.)); +#23112=DIRECTION('',(-1.,0.,0.)); +#23113=DIRECTION('center_axis',(1.,0.,0.)); +#23114=DIRECTION('ref_axis',(0.,0.,-1.)); +#23115=DIRECTION('',(0.,1.,0.)); +#23116=DIRECTION('',(0.,0.,1.)); +#23117=DIRECTION('',(0.,-1.,0.)); +#23118=DIRECTION('center_axis',(0.,0.,-1.)); +#23119=DIRECTION('ref_axis',(-2.86807614694831E-14,1.,0.)); +#23120=DIRECTION('center_axis',(0.,0.,1.)); +#23121=DIRECTION('ref_axis',(-1.,1.85037170770859E-15,0.)); +#23122=DIRECTION('center_axis',(0.,0.,-1.)); +#23123=DIRECTION('ref_axis',(1.,1.85037170770859E-15,0.)); +#23124=DIRECTION('center_axis',(0.,0.,-1.)); +#23125=DIRECTION('ref_axis',(1.,0.,0.)); +#23126=DIRECTION('center_axis',(0.,0.,1.)); +#23127=DIRECTION('ref_axis',(-1.,0.,0.)); +#23128=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,0.)); +#23129=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); +#23130=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); +#23131=DIRECTION('',(0.,0.,1.)); +#23132=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); +#23133=DIRECTION('',(0.,0.,-1.)); +#23134=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); +#23135=DIRECTION('ref_axis',(0.,0.,1.)); +#23136=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); +#23137=DIRECTION('',(0.,0.,1.)); +#23138=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); +#23139=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); +#23140=DIRECTION('ref_axis',(0.,0.,-1.)); +#23141=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); +#23142=DIRECTION('',(0.,0.,1.)); +#23143=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); +#23144=DIRECTION('center_axis',(1.,0.,0.)); +#23145=DIRECTION('ref_axis',(0.,-1.,0.)); +#23146=DIRECTION('',(0.,1.,0.)); +#23147=DIRECTION('',(0.,0.,1.)); +#23148=DIRECTION('',(0.,-1.,0.)); +#23149=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); +#23150=DIRECTION('ref_axis',(0.,0.,-1.)); +#23151=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); +#23152=DIRECTION('',(0.,0.,1.)); +#23153=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); +#23154=DIRECTION('center_axis',(0.,1.,0.)); +#23155=DIRECTION('ref_axis',(0.,0.,-1.)); +#23156=DIRECTION('',(-1.,0.,0.)); +#23157=DIRECTION('',(0.,0.,1.)); +#23158=DIRECTION('',(1.,0.,0.)); +#23159=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); +#23160=DIRECTION('ref_axis',(0.,0.,-1.)); +#23161=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); +#23162=DIRECTION('',(0.,0.,1.)); +#23163=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); +#23164=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); +#23165=DIRECTION('ref_axis',(0.,0.,-1.)); +#23166=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); +#23167=DIRECTION('',(0.,0.,1.)); +#23168=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); +#23169=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549,0.)); +#23170=DIRECTION('ref_axis',(0.,0.,1.)); +#23171=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); +#23172=DIRECTION('',(0.,0.,1.)); +#23173=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); +#23174=DIRECTION('center_axis',(-1.,0.,0.)); +#23175=DIRECTION('ref_axis',(0.,1.,0.)); +#23176=DIRECTION('',(0.,-1.,0.)); +#23177=DIRECTION('',(0.,0.,1.)); +#23178=DIRECTION('',(0.,1.,0.)); +#23179=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); +#23180=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); +#23181=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); +#23182=DIRECTION('',(0.,0.,1.)); +#23183=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); +#23184=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,0.)); +#23185=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); +#23186=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); +#23187=DIRECTION('',(0.,0.,1.)); +#23188=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); +#23189=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); +#23190=DIRECTION('ref_axis',(0.,0.,1.)); +#23191=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); +#23192=DIRECTION('',(0.,0.,1.)); +#23193=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); +#23194=DIRECTION('center_axis',(0.,-1.,0.)); +#23195=DIRECTION('ref_axis',(0.,0.,1.)); +#23196=DIRECTION('',(1.,0.,0.)); +#23197=DIRECTION('',(-1.,0.,0.)); +#23198=DIRECTION('center_axis',(0.,0.,-1.)); +#23199=DIRECTION('ref_axis',(1.,0.,0.)); +#23200=DIRECTION('center_axis',(0.,0.,1.)); +#23201=DIRECTION('ref_axis',(-1.,0.,0.)); +#23202=DIRECTION('axis',(0.,0.,1.)); +#23203=DIRECTION('refdir',(1.,0.,0.)); +#23204=DIRECTION('center_axis',(0.,1.,0.)); +#23205=DIRECTION('ref_axis',(0.960563441721077,0.,0.278060918557352)); +#23206=DIRECTION('center_axis',(0.,1.,0.)); +#23207=DIRECTION('ref_axis',(0.960563441721077,0.,0.278060918557352)); +#23208=DIRECTION('',(0.,-1.,0.)); +#23209=DIRECTION('center_axis',(0.,1.,0.)); +#23210=DIRECTION('ref_axis',(0.960563441721077,0.,0.278060918557352)); +#23211=DIRECTION('',(0.,1.,0.)); +#23212=DIRECTION('center_axis',(0.,-1.,0.)); +#23213=DIRECTION('ref_axis',(1.,0.,0.)); +#23214=DIRECTION('',(-1.,0.,0.)); +#23215=DIRECTION('',(0.,0.,1.)); +#23216=DIRECTION('center_axis',(0.,-1.,0.)); +#23217=DIRECTION('ref_axis',(-1.,0.,0.)); +#23218=DIRECTION('center_axis',(0.,1.,0.)); +#23219=DIRECTION('ref_axis',(-0.279604152811131,0.,0.960115366886068)); +#23220=DIRECTION('center_axis',(0.,1.,0.)); +#23221=DIRECTION('ref_axis',(-0.279604152811131,0.,0.960115366886068)); +#23222=DIRECTION('',(0.,-1.,0.)); +#23223=DIRECTION('center_axis',(0.,1.,0.)); +#23224=DIRECTION('ref_axis',(-0.279604152811131,0.,0.960115366886068)); +#23225=DIRECTION('',(0.,1.,0.)); +#23226=DIRECTION('center_axis',(0.,-1.,0.)); +#23227=DIRECTION('ref_axis',(1.,0.,0.)); +#23228=DIRECTION('',(0.,0.,-1.)); +#23229=DIRECTION('',(-1.,0.,0.)); +#23230=DIRECTION('center_axis',(0.,-1.,0.)); +#23231=DIRECTION('ref_axis',(-1.,0.,0.)); +#23232=DIRECTION('center_axis',(0.,1.,0.)); +#23233=DIRECTION('ref_axis',(-0.960433284027582,0.,-0.278510155886628)); +#23234=DIRECTION('center_axis',(0.,1.,0.)); +#23235=DIRECTION('ref_axis',(-0.960433284027582,0.,-0.278510155886628)); +#23236=DIRECTION('',(0.,-1.,0.)); +#23237=DIRECTION('center_axis',(0.,1.,0.)); +#23238=DIRECTION('ref_axis',(-0.960433284027582,0.,-0.278510155886628)); +#23239=DIRECTION('',(0.,1.,0.)); +#23240=DIRECTION('center_axis',(0.,-1.,0.)); +#23241=DIRECTION('ref_axis',(1.,0.,0.)); +#23242=DIRECTION('',(1.,0.,0.)); +#23243=DIRECTION('',(0.,0.,-1.)); +#23244=DIRECTION('center_axis',(0.,-1.,0.)); +#23245=DIRECTION('ref_axis',(-1.,0.,0.)); +#23246=DIRECTION('center_axis',(0.,-1.,0.)); +#23247=DIRECTION('ref_axis',(-1.,0.,0.)); +#23248=DIRECTION('',(0.,-1.,0.)); +#23249=DIRECTION('center_axis',(0.,-1.,0.)); +#23250=DIRECTION('ref_axis',(-1.,0.,0.)); +#23251=DIRECTION('',(0.,1.,0.)); +#23252=DIRECTION('center_axis',(-1.,0.,0.)); +#23253=DIRECTION('ref_axis',(0.,0.,1.)); +#23254=DIRECTION('',(0.,0.,-1.)); +#23255=DIRECTION('',(0.,1.,0.)); +#23256=DIRECTION('',(0.,0.,1.)); +#23257=DIRECTION('',(0.,1.,0.)); +#23258=DIRECTION('',(0.,0.,1.)); +#23259=DIRECTION('center_axis',(0.,-1.,0.)); +#23260=DIRECTION('ref_axis',(-1.,0.,0.)); +#23261=DIRECTION('',(0.,-1.,0.)); +#23262=DIRECTION('center_axis',(0.,-1.,0.)); +#23263=DIRECTION('ref_axis',(-1.,0.,0.)); +#23264=DIRECTION('',(0.,1.,0.)); +#23265=DIRECTION('center_axis',(0.,-1.,0.)); +#23266=DIRECTION('ref_axis',(-1.,0.,0.)); +#23267=DIRECTION('',(0.,-1.,0.)); +#23268=DIRECTION('center_axis',(0.,-1.,0.)); +#23269=DIRECTION('ref_axis',(-1.,0.,0.)); +#23270=DIRECTION('',(0.,1.,0.)); +#23271=DIRECTION('center_axis',(0.,1.,0.)); +#23272=DIRECTION('ref_axis',(0.282166444568551,0.,-0.959365466107439)); +#23273=DIRECTION('center_axis',(0.,1.,0.)); +#23274=DIRECTION('ref_axis',(0.282166444568551,0.,-0.959365466107439)); +#23275=DIRECTION('',(0.,-1.,0.)); +#23276=DIRECTION('center_axis',(0.,1.,0.)); +#23277=DIRECTION('ref_axis',(0.282166444568551,0.,-0.959365466107439)); +#23278=DIRECTION('center_axis',(0.,-1.,0.)); +#23279=DIRECTION('ref_axis',(1.,0.,0.)); +#23280=DIRECTION('',(1.,0.,0.)); +#23281=DIRECTION('center_axis',(0.,-1.,0.)); +#23282=DIRECTION('ref_axis',(-1.,0.,0.)); +#23283=DIRECTION('center_axis',(0.,1.,0.)); +#23284=DIRECTION('ref_axis',(1.,0.,0.)); +#23285=DIRECTION('',(1.,0.,0.)); +#23286=DIRECTION('',(0.,0.,1.)); +#23287=DIRECTION('',(-1.,0.,0.)); +#23288=DIRECTION('center_axis',(-3.84420890838534E-17,0.,-1.)); +#23289=DIRECTION('ref_axis',(0.547722557505166,-0.836660026534076,-2.10555993488496E-17)); +#23290=DIRECTION('center_axis',(0.,0.,1.)); +#23291=DIRECTION('ref_axis',(0.,-1.,0.)); +#23292=DIRECTION('',(-3.84420890838534E-17,0.,-1.)); +#23293=DIRECTION('center_axis',(0.,0.,-1.)); +#23294=DIRECTION('ref_axis',(0.916515138991168,-0.400000000000001,0.)); +#23295=DIRECTION('',(3.84420890838534E-17,0.,1.)); +#23296=DIRECTION('center_axis',(0.,-1.,0.)); +#23297=DIRECTION('ref_axis',(0.,0.,-1.)); +#23298=DIRECTION('center_axis',(1.,0.,0.)); +#23299=DIRECTION('ref_axis',(0.,-0.4,0.916515138991168)); +#23300=DIRECTION('center_axis',(0.,1.,0.)); +#23301=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); +#23302=DIRECTION('center_axis',(0.,-1.,0.)); +#23303=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); +#23304=DIRECTION('center_axis',(1.,0.,-2.21599174368287E-16)); +#23305=DIRECTION('ref_axis',(1.21374866526031E-16,-0.836660026534076,0.547722557505166)); +#23306=DIRECTION('center_axis',(1.,0.,0.)); +#23307=DIRECTION('ref_axis',(0.,-0.4,0.916515138991168)); +#23308=DIRECTION('',(-1.,0.,2.21599174368287E-16)); +#23309=DIRECTION('',(1.,0.,-2.21599174368287E-16)); +#23310=DIRECTION('center_axis',(0.,-1.,0.)); +#23311=DIRECTION('ref_axis',(0.,0.,-1.)); +#23312=DIRECTION('center_axis',(0.,0.,1.)); +#23313=DIRECTION('ref_axis',(-0.916515138991168,-0.399999999999999,0.)); +#23314=DIRECTION('center_axis',(0.,1.,0.)); +#23315=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); +#23316=DIRECTION('center_axis',(0.,-1.,0.)); +#23317=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); +#23318=DIRECTION('center_axis',(3.07536712670828E-16,0.,1.)); +#23319=DIRECTION('ref_axis',(-0.547722557505167,-0.836660026534075,1.68444794790798E-16)); +#23320=DIRECTION('center_axis',(0.,0.,1.)); +#23321=DIRECTION('ref_axis',(-0.916515138991168,-0.400000000000001,0.)); +#23322=DIRECTION('',(-3.07536712670828E-16,0.,-1.)); +#23323=DIRECTION('',(3.07536712670828E-16,0.,1.)); +#23324=DIRECTION('center_axis',(0.,-1.,0.)); +#23325=DIRECTION('ref_axis',(0.,0.,-1.)); +#23326=DIRECTION('center_axis',(-1.,0.,0.)); +#23327=DIRECTION('ref_axis',(0.,-0.400000000000001,-0.916515138991168)); +#23328=DIRECTION('center_axis',(0.,1.,0.)); +#23329=DIRECTION('ref_axis',(0.707106781186545,0.,0.70710678118655)); +#23330=DIRECTION('center_axis',(0.,-1.,0.)); +#23331=DIRECTION('ref_axis',(0.707106781186545,0.,0.70710678118655)); +#23332=DIRECTION('center_axis',(-1.,0.,0.)); +#23333=DIRECTION('ref_axis',(0.,-0.836660026534075,-0.547722557505167)); +#23334=DIRECTION('center_axis',(-1.,0.,-7.57095394141013E-16)); +#23335=DIRECTION('ref_axis',(6.93889390390724E-16,-0.4,-0.916515138991168)); +#23336=DIRECTION('',(1.,0.,0.)); +#23337=DIRECTION('',(-1.,0.,0.)); +#23338=DIRECTION('center_axis',(0.,-1.,0.)); +#23339=DIRECTION('ref_axis',(0.,0.,-1.)); +#23340=DIRECTION('center_axis',(0.,1.,0.)); +#23341=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); +#23342=DIRECTION('center_axis',(0.,-1.,0.)); +#23343=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); +#23344=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); +#23345=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); +#23346=DIRECTION('center_axis',(0.,-1.,0.)); +#23347=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); +#23348=DIRECTION('center_axis',(0.,0.,1.)); +#23349=DIRECTION('ref_axis',(1.,0.,0.)); +#23350=DIRECTION('center_axis',(-1.,0.,2.21599174368287E-16)); +#23351=DIRECTION('ref_axis',(-1.56694278901156E-16,0.707106781186547,-0.707106781186547)); +#23352=DIRECTION('center_axis',(1.,0.,0.)); +#23353=DIRECTION('ref_axis',(0.,0.,-1.)); +#23354=DIRECTION('',(1.,0.,-2.21599174368287E-16)); +#23355=DIRECTION('center_axis',(-9.25185853854297E-16,0.,-1.)); +#23356=DIRECTION('ref_axis',(-1.,0.,9.25185853854297E-16)); +#23357=DIRECTION('center_axis',(0.,-1.,0.)); +#23358=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); +#23359=DIRECTION('center_axis',(3.84420890838534E-17,0.,1.)); +#23360=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,2.71826618741701E-17)); +#23361=DIRECTION('center_axis',(0.,0.,-1.)); +#23362=DIRECTION('ref_axis',(-1.,0.,0.)); +#23363=DIRECTION('',(-3.84420890838534E-17,0.,-1.)); +#23364=DIRECTION('center_axis',(0.,1.,0.)); +#23365=DIRECTION('ref_axis',(0.,0.,1.)); +#23366=DIRECTION('center_axis',(0.,-1.,0.)); +#23367=DIRECTION('ref_axis',(-1.,0.,0.)); +#23368=DIRECTION('',(1.,0.,0.)); +#23369=DIRECTION('',(0.,0.,-1.)); +#23370=DIRECTION('',(-1.,0.,0.)); +#23371=DIRECTION('center_axis',(1.,0.,0.)); +#23372=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548)); +#23373=DIRECTION('center_axis',(-1.,0.,0.)); +#23374=DIRECTION('ref_axis',(0.,0.,1.)); +#23375=DIRECTION('',(-1.,0.,0.)); +#23376=DIRECTION('center_axis',(1.,0.,-4.62592926927149E-16)); +#23377=DIRECTION('ref_axis',(0.,1.,0.)); +#23378=DIRECTION('center_axis',(0.,0.,1.)); +#23379=DIRECTION('ref_axis',(1.,0.,0.)); +#23380=DIRECTION('center_axis',(0.,-1.,0.)); +#23381=DIRECTION('ref_axis',(0.707106781186545,0.,0.70710678118655)); +#23382=DIRECTION('center_axis',(-3.07536712670828E-16,0.,-1.)); +#23383=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,-2.17461294993361E-16)); +#23384=DIRECTION('',(3.07536712670828E-16,0.,1.)); +#23385=DIRECTION('center_axis',(0.,-1.,0.)); +#23386=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); +#23387=DIRECTION('center_axis',(0.,1.,0.)); +#23388=DIRECTION('ref_axis',(-1.,0.,0.)); +#23389=DIRECTION('center_axis',(0.,-1.,0.)); +#23390=DIRECTION('ref_axis',(-1.,0.,0.)); +#23391=DIRECTION('',(0.,-1.,0.)); +#23392=DIRECTION('center_axis',(1.,0.,0.)); +#23393=DIRECTION('ref_axis',(0.,0.,-1.)); +#23394=DIRECTION('center_axis',(0.,0.,-1.)); +#23395=DIRECTION('ref_axis',(-1.,0.,0.)); +#23396=DIRECTION('center_axis',(0.,0.,1.)); +#23397=DIRECTION('ref_axis',(1.,0.,0.)); +#23398=DIRECTION('axis',(0.,0.,1.)); +#23399=DIRECTION('refdir',(1.,0.,0.)); +#23400=DIRECTION('center_axis',(1.,0.,-9.07044954759115E-17)); +#23401=DIRECTION('ref_axis',(-1.14176799952677E-47,-1.,-1.25877774142957E-31)); +#23402=DIRECTION('center_axis',(0.978467065334197,-0.145948719983682,-0.145949214425461)); +#23403=DIRECTION('ref_axis',(0.206403007694902,0.69195385399135,0.691807532743765)); +#23404=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#23405=DIRECTION('center_axis',(0.978467316130872,0.145948034755038,0.145948218265213)); +#23406=DIRECTION('ref_axis',(0.206401818713116,-0.691956699944389,-0.691805040913977)); +#23407=DIRECTION('',(-1.,0.,8.2180484548367E-17)); +#23408=DIRECTION('center_axis',(1.,0.,-9.07044954759115E-17)); +#23409=DIRECTION('ref_axis',(-1.14176799952677E-47,-1.,-1.25877774142957E-31)); +#23410=DIRECTION('',(-1.,0.,1.44790692716194E-16)); +#23411=DIRECTION('center_axis',(-1.,-1.14176799952676E-47,9.07044954759115E-17)); +#23412=DIRECTION('ref_axis',(-9.07044954759115E-17,-6.12323399573677E-17, +-1.)); +#23413=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#23414=DIRECTION('center_axis',(1.,0.,-9.07044954759115E-17)); +#23415=DIRECTION('ref_axis',(-1.14176799952677E-47,-1.,-1.25877774142957E-31)); +#23416=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#23417=DIRECTION('center_axis',(-1.,-9.49410759657493E-16,1.07177667533817E-16)); +#23418=DIRECTION('ref_axis',(-1.07177667533817E-16,-1.01755630751599E-31, +-1.)); +#23419=DIRECTION('',(-1.,0.,7.23953461393682E-17)); +#23420=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23421=DIRECTION('ref_axis',(-1.,0.,1.07177667533817E-16)); +#23422=DIRECTION('center_axis',(1.07177667533817E-16,0.,1.)); +#23423=DIRECTION('ref_axis',(0.989402757410542,0.145197051032094,-1.06041879790789E-16)); +#23424=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23425=DIRECTION('ref_axis',(-0.989402757964648,0.1451970472563,1.06041879850177E-16)); +#23426=DIRECTION('center_axis',(1.07177667533817E-16,0.,1.)); +#23427=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.03201988999003E-16)); +#23428=DIRECTION('center_axis',(-1.07177667533817E-16,-6.16297582203915E-33, +-1.)); +#23429=DIRECTION('ref_axis',(-0.962905718290211,-0.269838058257195,1.03201988941319E-16)); +#23430=DIRECTION('',(-1.,-1.09573979435637E-16,1.07177667533817E-16)); +#23431=DIRECTION('center_axis',(1.07177667533817E-16,0.,1.)); +#23432=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.03201988999003E-16)); +#23433=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23434=DIRECTION('ref_axis',(-0.962905718793333,-0.269838056461825,1.03201988995243E-16)); +#23435=DIRECTION('center_axis',(0.,1.,0.)); +#23436=DIRECTION('ref_axis',(1.,0.,0.)); +#23437=DIRECTION('center_axis',(0.,-1.,0.)); +#23438=DIRECTION('ref_axis',(-0.989402757169624,0.,-0.14519705267376)); +#23439=DIRECTION('center_axis',(0.,1.,0.)); +#23440=DIRECTION('ref_axis',(0.962905718701752,0.,0.269838056788626)); +#23441=DIRECTION('center_axis',(0.,-1.,0.)); +#23442=DIRECTION('ref_axis',(-0.962905718701752,0.,0.269838056788626)); +#23443=DIRECTION('center_axis',(0.,-1.,0.)); +#23444=DIRECTION('ref_axis',(0.989402757169624,0.,0.145197052673759)); +#23445=DIRECTION('center_axis',(0.,1.,0.)); +#23446=DIRECTION('ref_axis',(-0.962905718701752,0.,-0.269838056788626)); +#23447=DIRECTION('center_axis',(0.,-1.,0.)); +#23448=DIRECTION('ref_axis',(0.962905718702071,0.,-0.269838056787489)); +#23449=DIRECTION('',(1.,0.,-2.19147958797517E-16)); +#23450=DIRECTION('center_axis',(0.,1.,0.)); +#23451=DIRECTION('ref_axis',(1.,0.,0.)); +#23452=DIRECTION('',(1.,0.,0.)); +#23453=DIRECTION('',(0.,0.,1.)); +#23454=DIRECTION('center_axis',(0.,0.,-1.)); +#23455=DIRECTION('ref_axis',(6.93889390390723E-16,-1.,0.)); +#23456=DIRECTION('center_axis',(-2.79888157468527E-16,-3.23685704939042E-33, +-1.)); +#23457=DIRECTION('ref_axis',(1.38777878078145E-15,-1.,-3.85185988877448E-31)); +#23458=DIRECTION('center_axis',(1.80223586121847E-15,1.,-1.93159435951195E-31)); +#23459=DIRECTION('ref_axis',(-3.48118862403975E-46,-1.93159435951195E-31, +-1.)); +#23460=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23461=DIRECTION('ref_axis',(-1.,0.,1.07177667533817E-16)); +#23462=DIRECTION('',(0.,1.,0.)); +#23463=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#23464=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23465=DIRECTION('ref_axis',(-1.,0.,1.07177667533817E-16)); +#23466=DIRECTION('',(-1.,2.89579745861337E-16,1.07177667533817E-16)); +#23467=DIRECTION('',(0.,1.,0.)); +#23468=DIRECTION('center_axis',(0.,0.,-1.)); +#23469=DIRECTION('ref_axis',(1.,0.,-1.73472347597681E-16)); +#23470=DIRECTION('center_axis',(0.,0.,-1.)); +#23471=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,0.)); +#23472=DIRECTION('center_axis',(0.,1.,2.69471607918727E-17)); +#23473=DIRECTION('ref_axis',(1.,-4.33343396138888E-33,1.60812264967664E-16)); +#23474=DIRECTION('center_axis',(0.,1.,0.)); +#23475=DIRECTION('ref_axis',(1.,0.,0.)); +#23476=DIRECTION('',(0.,0.,-1.)); +#23477=DIRECTION('',(1.,0.,0.)); +#23478=DIRECTION('center_axis',(-1.,0.,9.07044954759115E-17)); +#23479=DIRECTION('ref_axis',(-1.14176799952677E-47,1.,-1.25877774142957E-31)); +#23480=DIRECTION('center_axis',(-0.978467065119031,0.145949513663962,-0.145948422186067)); +#23481=DIRECTION('ref_axis',(0.206403008670007,0.691959061530104,-0.691802323773451)); +#23482=DIRECTION('center_axis',(-0.978467596052367,-0.145947187616046,0.14594718874466)); +#23483=DIRECTION('ref_axis',(0.206400491808514,-0.6919542797063,0.691807857556807)); +#23484=DIRECTION('',(-1.,0.,8.2180484548367E-17)); +#23485=DIRECTION('center_axis',(-1.,0.,0.)); +#23486=DIRECTION('ref_axis',(0.,-1.,6.93889390390723E-16)); +#23487=DIRECTION('center_axis',(-0.978467694602892,0.145946952153187,-0.145946763498537)); +#23488=DIRECTION('ref_axis',(0.206400024631051,0.691954324799983,-0.691807951835551)); +#23489=DIRECTION('center_axis',(-0.97846744203188,-0.145947156703288,0.145948252246525)); +#23490=DIRECTION('ref_axis',(0.206401221960617,-0.691951580527173,0.69181033945664)); +#23491=DIRECTION('',(1.,0.,-2.19147958863962E-16)); +#23492=DIRECTION('center_axis',(-1.,0.,0.)); +#23493=DIRECTION('ref_axis',(0.,-1.,6.93889390390723E-16)); +#23494=DIRECTION('',(1.,0.,0.)); +#23495=DIRECTION('center_axis',(1.,2.8808586752993E-15,-1.05735526154777E-16)); +#23496=DIRECTION('ref_axis',(1.05735526154781E-16,-1.38777878078145E-15, +1.)); +#23497=DIRECTION('center_axis',(-2.79888157468527E-16,0.,-1.)); +#23498=DIRECTION('ref_axis',(1.38777878078145E-15,-1.,-3.88422845926839E-31)); +#23499=DIRECTION('center_axis',(2.79888157468527E-16,3.23685704939042E-33, +1.)); +#23500=DIRECTION('ref_axis',(-1.,-1.44901112073881E-15,2.79888157468527E-16)); +#23501=DIRECTION('',(2.84672570416707E-16,0.,1.)); +#23502=DIRECTION('center_axis',(0.,-1.,0.)); +#23503=DIRECTION('ref_axis',(-1.,0.,1.73472347597681E-16)); +#23504=DIRECTION('',(0.,1.,0.)); +#23505=DIRECTION('center_axis',(0.,1.,0.)); +#23506=DIRECTION('ref_axis',(-1.26600826300171E-17,0.,-1.)); +#23507=DIRECTION('center_axis',(-1.,0.,9.07044954759115E-17)); +#23508=DIRECTION('ref_axis',(-1.14176799952677E-47,1.,-1.25877774142957E-31)); +#23509=DIRECTION('center_axis',(1.,-4.21312630484949E-15,-1.07177667533817E-16)); +#23510=DIRECTION('ref_axis',(-1.07177667533813E-16,1.04083408558608E-15, +-1.)); +#23511=DIRECTION('',(-1.,0.,1.44790692233229E-16)); +#23512=DIRECTION('center_axis',(-1.,0.,9.07044954759115E-17)); +#23513=DIRECTION('ref_axis',(-1.14176799952677E-47,1.,-1.25877774142957E-31)); +#23514=DIRECTION('',(-1.,0.,7.23953461906134E-17)); +#23515=DIRECTION('center_axis',(1.,-1.14176799952676E-47,-9.07044954759115E-17)); +#23516=DIRECTION('ref_axis',(-9.07044954759115E-17,6.12323399573677E-17, +-1.)); +#23517=DIRECTION('center_axis',(0.,-1.,-2.69471607918727E-17)); +#23518=DIRECTION('ref_axis',(0.,2.69471607918727E-17,-1.)); +#23519=DIRECTION('',(0.,1.,1.42336285208353E-16)); +#23520=DIRECTION('center_axis',(-7.37009031920778E-17,-1.,7.89909089926252E-33)); +#23521=DIRECTION('ref_axis',(-5.82170133671969E-49,-7.89909089926252E-33, +-1.)); +#23522=DIRECTION('center_axis',(0.,0.,1.)); +#23523=DIRECTION('ref_axis',(0.,-1.,0.)); +#23524=DIRECTION('center_axis',(0.,0.,1.)); +#23525=DIRECTION('ref_axis',(0.,-1.,0.)); +#23526=DIRECTION('',(0.,0.,-1.)); +#23527=DIRECTION('center_axis',(-1.,0.,0.)); +#23528=DIRECTION('ref_axis',(0.,-1.,6.93889390390723E-16)); +#23529=DIRECTION('center_axis',(1.,0.,0.)); +#23530=DIRECTION('ref_axis',(0.,6.32657050433355E-16,1.)); +#23531=DIRECTION('',(1.,0.,0.)); +#23532=DIRECTION('center_axis',(0.,1.,0.)); +#23533=DIRECTION('ref_axis',(1.,0.,0.)); +#23534=DIRECTION('center_axis',(0.,-1.,0.)); +#23535=DIRECTION('ref_axis',(-0.989402757169624,0.,-0.14519705267376)); +#23536=DIRECTION('',(1.,0.,-2.19147958797517E-16)); +#23537=DIRECTION('center_axis',(0.,-1.,0.)); +#23538=DIRECTION('ref_axis',(0.962905718702071,0.,-0.269838056787489)); +#23539=DIRECTION('center_axis',(0.,1.,0.)); +#23540=DIRECTION('ref_axis',(-0.962905718701752,0.,-0.269838056788626)); +#23541=DIRECTION('center_axis',(0.,-1.,0.)); +#23542=DIRECTION('ref_axis',(0.989402757169624,0.,0.145197052673759)); +#23543=DIRECTION('center_axis',(0.,-1.,0.)); +#23544=DIRECTION('ref_axis',(-0.962905718701752,0.,0.269838056788626)); +#23545=DIRECTION('center_axis',(0.,1.,0.)); +#23546=DIRECTION('ref_axis',(0.962905718701752,0.,0.269838056788626)); +#23547=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23548=DIRECTION('ref_axis',(1.,0.,-1.05735526154777E-16)); +#23549=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23550=DIRECTION('ref_axis',(0.989402757410542,0.145197051032094,-1.04615021133791E-16)); +#23551=DIRECTION('center_axis',(-1.05735526154777E-16,0.,-1.)); +#23552=DIRECTION('ref_axis',(-0.962905718793333,-0.269838056461825,1.01813342814057E-16)); +#23553=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23554=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.01813342817766E-16)); +#23555=DIRECTION('',(1.,-1.09573979435637E-16,-2.19147958871275E-16)); +#23556=DIRECTION('center_axis',(-1.05735526154777E-16,3.08148791101958E-33, +-1.)); +#23557=DIRECTION('ref_axis',(-0.962905718290211,-0.269838058257195,1.01813342760859E-16)); +#23558=DIRECTION('center_axis',(1.05735526154777E-16,3.08148791101958E-33, +1.)); +#23559=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.01813342817766E-16)); +#23560=DIRECTION('center_axis',(-1.05735526154777E-16,0.,-1.)); +#23561=DIRECTION('ref_axis',(-0.989402757964648,0.145197047256299,1.0461502119238E-16)); +#23562=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23563=DIRECTION('ref_axis',(1.,0.,-1.05735526154777E-16)); +#23564=DIRECTION('',(1.,0.,0.)); +#23565=DIRECTION('',(0.,1.,0.)); +#23566=DIRECTION('center_axis',(0.,0.,1.)); +#23567=DIRECTION('ref_axis',(-1.,-1.38777878078145E-15,0.)); +#23568=DIRECTION('center_axis',(0.,1.,-1.07788643167491E-16)); +#23569=DIRECTION('ref_axis',(-1.,6.60015084197521E-33,6.12323399573677E-17)); +#23570=DIRECTION('center_axis',(-1.,0.,2.01858731750028E-16)); +#23571=DIRECTION('ref_axis',(2.01858731750028E-16,0.,1.)); +#23572=DIRECTION('',(0.,1.,-1.42336285208353E-16)); +#23573=DIRECTION('',(2.84672570416707E-16,0.,1.)); +#23574=DIRECTION('center_axis',(0.,0.,-1.)); +#23575=DIRECTION('ref_axis',(-1.,1.38777878078145E-15,1.73472347597681E-16)); +#23576=DIRECTION('center_axis',(-2.79888157468527E-16,3.23685704939042E-33, +-1.)); +#23577=DIRECTION('ref_axis',(-1.,1.44901112073881E-15,2.79888157468527E-16)); +#23578=DIRECTION('center_axis',(0.,1.,0.)); +#23579=DIRECTION('ref_axis',(1.,0.,0.)); +#23580=DIRECTION('',(0.,0.,1.)); +#23581=DIRECTION('',(1.,0.,0.)); +#23582=DIRECTION('center_axis',(0.,1.,0.)); +#23583=DIRECTION('ref_axis',(1.,0.,0.)); +#23584=DIRECTION('',(1.,0.,-2.89581383073544E-16)); +#23585=DIRECTION('',(0.,0.,-1.)); +#23586=DIRECTION('center_axis',(0.,0.,-1.)); +#23587=DIRECTION('ref_axis',(6.93889390390723E-16,1.,0.)); +#23588=DIRECTION('center_axis',(0.,0.,-1.)); +#23589=DIRECTION('ref_axis',(0.,1.,0.)); +#23590=DIRECTION('center_axis',(1.,0.,0.)); +#23591=DIRECTION('ref_axis',(0.,0.,-1.)); +#23592=DIRECTION('',(0.,1.,0.)); +#23593=DIRECTION('',(0.,0.,-1.)); +#23594=DIRECTION('center_axis',(0.,0.,1.)); +#23595=DIRECTION('ref_axis',(1.38777878078145E-15,-1.,0.)); +#23596=DIRECTION('center_axis',(-3.88534617376968E-15,1.,4.10819121976986E-31)); +#23597=DIRECTION('ref_axis',(1.5961745036847E-45,-4.10819121976986E-31, +1.)); +#23598=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23599=DIRECTION('ref_axis',(1.,0.,-1.05735526154777E-16)); +#23600=DIRECTION('',(0.,1.,0.)); +#23601=DIRECTION('',(1.,-2.89581384694443E-16,0.)); +#23602=DIRECTION('center_axis',(1.,0.,0.)); +#23603=DIRECTION('ref_axis',(0.,1.,6.93889390390723E-16)); +#23604=DIRECTION('center_axis',(0.978467064337926,0.145949524568936,0.145948416517694)); +#23605=DIRECTION('ref_axis',(0.206403012372569,-0.691959110441249,-0.691802273746541)); +#23606=DIRECTION('center_axis',(-0.978467241911895,0.145948368738066,0.145948381861623)); +#23607=DIRECTION('ref_axis',(0.20640217060481,0.691806125697647,0.691955510431729)); +#23608=DIRECTION('center_axis',(1.,0.,0.)); +#23609=DIRECTION('ref_axis',(0.,1.,6.93889390390723E-16)); +#23610=DIRECTION('center_axis',(-1.,0.,0.)); +#23611=DIRECTION('ref_axis',(0.,-6.32657050433355E-16,1.)); +#23612=DIRECTION('center_axis',(0.,-1.,1.07788643167491E-16)); +#23613=DIRECTION('ref_axis',(0.,1.07788643167491E-16,1.)); +#23614=DIRECTION('center_axis',(1.09921505959131E-15,-1.,-1.16226082683141E-31)); +#23615=DIRECTION('ref_axis',(1.27757460402614E-46,-1.16226082683141E-31, +1.)); +#23616=DIRECTION('center_axis',(2.79888157468527E-16,0.,1.)); +#23617=DIRECTION('ref_axis',(1.38777878078145E-15,1.,-3.88422845926839E-31)); +#23618=DIRECTION('center_axis',(2.79888157468527E-16,-3.23685704939042E-33, +1.)); +#23619=DIRECTION('ref_axis',(1.38777878078145E-15,1.,-3.85185988877448E-31)); +#23620=DIRECTION('center_axis',(1.,0.,0.)); +#23621=DIRECTION('ref_axis',(0.,1.,6.93889390390723E-16)); +#23622=DIRECTION('center_axis',(-1.,-2.82534752406804E-15,1.05735526154777E-16)); +#23623=DIRECTION('ref_axis',(1.05735526154777E-16,2.9873960702743E-31,1.)); +#23624=DIRECTION('center_axis',(0.,0.,-1.)); +#23625=DIRECTION('ref_axis',(0.,1.,0.)); +#23626=DIRECTION('center_axis',(0.,0.,1.)); +#23627=DIRECTION('ref_axis',(1.,6.12323399573677E-17,0.)); +#23628=DIRECTION('center_axis',(1.07788643167491E-16,-1.,0.)); +#23629=DIRECTION('ref_axis',(1.,1.07788643167491E-16,0.)); +#23630=DIRECTION('center_axis',(-1.07788643167491E-16,1.,0.)); +#23631=DIRECTION('ref_axis',(6.12323399573677E-17,6.60015084197521E-33, +1.)); +#23632=DIRECTION('center_axis',(0.,0.,1.)); +#23633=DIRECTION('ref_axis',(1.38777878078145E-15,1.,0.)); +#23634=DIRECTION('center_axis',(0.,0.,1.)); +#23635=DIRECTION('ref_axis',(1.,0.,0.)); +#23636=DIRECTION('axis',(0.,0.,1.)); +#23637=DIRECTION('refdir',(1.,0.,0.)); +#23638=DIRECTION('center_axis',(1.,0.,-9.07044954759115E-17)); +#23639=DIRECTION('ref_axis',(-1.14176799952677E-47,-1.,-1.25877774142957E-31)); +#23640=DIRECTION('center_axis',(0.978467065334197,-0.145948719983682,-0.145949214425461)); +#23641=DIRECTION('ref_axis',(0.206403007694902,0.69195385399135,0.691807532743765)); +#23642=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#23643=DIRECTION('center_axis',(0.978467316130872,0.145948034755038,0.145948218265213)); +#23644=DIRECTION('ref_axis',(0.206401818713116,-0.691956699944389,-0.691805040913977)); +#23645=DIRECTION('',(-1.,0.,8.2180484548367E-17)); +#23646=DIRECTION('center_axis',(1.,0.,-9.07044954759115E-17)); +#23647=DIRECTION('ref_axis',(-1.14176799952677E-47,-1.,-1.25877774142957E-31)); +#23648=DIRECTION('',(-1.,0.,1.44790692716194E-16)); +#23649=DIRECTION('center_axis',(-1.,-1.14176799952676E-47,9.07044954759115E-17)); +#23650=DIRECTION('ref_axis',(-9.07044954759115E-17,-6.12323399573677E-17, +-1.)); +#23651=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#23652=DIRECTION('center_axis',(1.,0.,-9.07044954759115E-17)); +#23653=DIRECTION('ref_axis',(-1.14176799952677E-47,-1.,-1.25877774142957E-31)); +#23654=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#23655=DIRECTION('center_axis',(-1.,-9.49410759657493E-16,1.07177667533817E-16)); +#23656=DIRECTION('ref_axis',(-1.07177667533817E-16,-1.01755630751599E-31, +-1.)); +#23657=DIRECTION('',(-1.,0.,7.23953461393682E-17)); +#23658=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23659=DIRECTION('ref_axis',(-1.,0.,1.07177667533817E-16)); +#23660=DIRECTION('center_axis',(1.07177667533817E-16,0.,1.)); +#23661=DIRECTION('ref_axis',(0.989402757410542,0.145197051032094,-1.06041879790789E-16)); +#23662=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23663=DIRECTION('ref_axis',(-0.989402757964648,0.1451970472563,1.06041879850177E-16)); +#23664=DIRECTION('center_axis',(1.07177667533817E-16,0.,1.)); +#23665=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.03201988999003E-16)); +#23666=DIRECTION('center_axis',(-1.07177667533817E-16,-6.16297582203915E-33, +-1.)); +#23667=DIRECTION('ref_axis',(-0.962905718290211,-0.269838058257195,1.03201988941319E-16)); +#23668=DIRECTION('',(-1.,-1.09573979435637E-16,1.07177667533817E-16)); +#23669=DIRECTION('center_axis',(1.07177667533817E-16,0.,1.)); +#23670=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.03201988999003E-16)); +#23671=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23672=DIRECTION('ref_axis',(-0.962905718793333,-0.269838056461825,1.03201988995243E-16)); +#23673=DIRECTION('center_axis',(0.,1.,0.)); +#23674=DIRECTION('ref_axis',(1.,0.,0.)); +#23675=DIRECTION('center_axis',(0.,-1.,0.)); +#23676=DIRECTION('ref_axis',(-0.989402757169624,0.,-0.14519705267376)); +#23677=DIRECTION('center_axis',(0.,1.,0.)); +#23678=DIRECTION('ref_axis',(0.962905718701752,0.,0.269838056788626)); +#23679=DIRECTION('center_axis',(0.,-1.,0.)); +#23680=DIRECTION('ref_axis',(-0.962905718701752,0.,0.269838056788626)); +#23681=DIRECTION('center_axis',(0.,-1.,0.)); +#23682=DIRECTION('ref_axis',(0.989402757169624,0.,0.145197052673759)); +#23683=DIRECTION('center_axis',(0.,1.,0.)); +#23684=DIRECTION('ref_axis',(-0.962905718701752,0.,-0.269838056788626)); +#23685=DIRECTION('center_axis',(0.,-1.,0.)); +#23686=DIRECTION('ref_axis',(0.962905718702071,0.,-0.269838056787489)); +#23687=DIRECTION('',(1.,0.,-2.19147958797517E-16)); +#23688=DIRECTION('center_axis',(0.,1.,0.)); +#23689=DIRECTION('ref_axis',(1.,0.,0.)); +#23690=DIRECTION('',(1.,0.,0.)); +#23691=DIRECTION('',(0.,0.,1.)); +#23692=DIRECTION('center_axis',(0.,0.,-1.)); +#23693=DIRECTION('ref_axis',(6.93889390390723E-16,-1.,0.)); +#23694=DIRECTION('center_axis',(-2.79888157468527E-16,-3.23685704939042E-33, +-1.)); +#23695=DIRECTION('ref_axis',(1.38777878078145E-15,-1.,-3.85185988877448E-31)); +#23696=DIRECTION('center_axis',(1.80223586121847E-15,1.,-1.93159435951195E-31)); +#23697=DIRECTION('ref_axis',(-3.48118862403975E-46,-1.93159435951195E-31, +-1.)); +#23698=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23699=DIRECTION('ref_axis',(-1.,0.,1.07177667533817E-16)); +#23700=DIRECTION('',(0.,1.,0.)); +#23701=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#23702=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#23703=DIRECTION('ref_axis',(-1.,0.,1.07177667533817E-16)); +#23704=DIRECTION('',(-1.,2.89579745861337E-16,1.07177667533817E-16)); +#23705=DIRECTION('',(0.,1.,0.)); +#23706=DIRECTION('center_axis',(0.,0.,-1.)); +#23707=DIRECTION('ref_axis',(1.,0.,-1.73472347597681E-16)); +#23708=DIRECTION('center_axis',(0.,0.,-1.)); +#23709=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,0.)); +#23710=DIRECTION('center_axis',(0.,1.,2.69471607918727E-17)); +#23711=DIRECTION('ref_axis',(1.,-4.33343396138888E-33,1.60812264967664E-16)); +#23712=DIRECTION('center_axis',(0.,1.,0.)); +#23713=DIRECTION('ref_axis',(1.,0.,0.)); +#23714=DIRECTION('',(0.,0.,-1.)); +#23715=DIRECTION('',(1.,0.,0.)); +#23716=DIRECTION('center_axis',(-1.,0.,9.07044954759115E-17)); +#23717=DIRECTION('ref_axis',(-1.14176799952677E-47,1.,-1.25877774142957E-31)); +#23718=DIRECTION('center_axis',(-0.978467065119031,0.145949513663962,-0.145948422186067)); +#23719=DIRECTION('ref_axis',(0.206403008670007,0.691959061530104,-0.691802323773451)); +#23720=DIRECTION('center_axis',(-0.978467596052367,-0.145947187616046,0.14594718874466)); +#23721=DIRECTION('ref_axis',(0.206400491808514,-0.6919542797063,0.691807857556807)); +#23722=DIRECTION('',(-1.,0.,8.2180484548367E-17)); +#23723=DIRECTION('center_axis',(-1.,0.,0.)); +#23724=DIRECTION('ref_axis',(0.,-1.,6.93889390390723E-16)); +#23725=DIRECTION('center_axis',(-0.978467694602892,0.145946952153187,-0.145946763498537)); +#23726=DIRECTION('ref_axis',(0.206400024631051,0.691954324799983,-0.691807951835551)); +#23727=DIRECTION('center_axis',(-0.97846744203188,-0.145947156703288,0.145948252246525)); +#23728=DIRECTION('ref_axis',(0.206401221960617,-0.691951580527173,0.69181033945664)); +#23729=DIRECTION('',(1.,0.,-2.19147958863962E-16)); +#23730=DIRECTION('center_axis',(-1.,0.,0.)); +#23731=DIRECTION('ref_axis',(0.,-1.,6.93889390390723E-16)); +#23732=DIRECTION('',(1.,0.,0.)); +#23733=DIRECTION('center_axis',(1.,2.8808586752993E-15,-1.05735526154777E-16)); +#23734=DIRECTION('ref_axis',(1.05735526154781E-16,-1.38777878078145E-15, +1.)); +#23735=DIRECTION('center_axis',(-2.79888157468527E-16,0.,-1.)); +#23736=DIRECTION('ref_axis',(1.38777878078145E-15,-1.,-3.88422845926839E-31)); +#23737=DIRECTION('center_axis',(2.79888157468527E-16,3.23685704939042E-33, +1.)); +#23738=DIRECTION('ref_axis',(-1.,-1.44901112073881E-15,2.79888157468527E-16)); +#23739=DIRECTION('',(2.84672570416707E-16,0.,1.)); +#23740=DIRECTION('center_axis',(0.,-1.,0.)); +#23741=DIRECTION('ref_axis',(-1.,0.,1.73472347597681E-16)); +#23742=DIRECTION('',(0.,1.,0.)); +#23743=DIRECTION('center_axis',(0.,1.,0.)); +#23744=DIRECTION('ref_axis',(-1.26600826300171E-17,0.,-1.)); +#23745=DIRECTION('center_axis',(-1.,0.,9.07044954759115E-17)); +#23746=DIRECTION('ref_axis',(-1.14176799952677E-47,1.,-1.25877774142957E-31)); +#23747=DIRECTION('center_axis',(1.,-4.21312630484949E-15,-1.07177667533817E-16)); +#23748=DIRECTION('ref_axis',(-1.07177667533813E-16,1.04083408558608E-15, +-1.)); +#23749=DIRECTION('',(-1.,0.,1.44790692233229E-16)); +#23750=DIRECTION('center_axis',(-1.,0.,9.07044954759115E-17)); +#23751=DIRECTION('ref_axis',(-1.14176799952677E-47,1.,-1.25877774142957E-31)); +#23752=DIRECTION('',(-1.,0.,7.23953461906134E-17)); +#23753=DIRECTION('center_axis',(1.,-1.14176799952676E-47,-9.07044954759115E-17)); +#23754=DIRECTION('ref_axis',(-9.07044954759115E-17,6.12323399573677E-17, +-1.)); +#23755=DIRECTION('center_axis',(0.,-1.,-2.69471607918727E-17)); +#23756=DIRECTION('ref_axis',(0.,2.69471607918727E-17,-1.)); +#23757=DIRECTION('',(0.,1.,1.42336285208353E-16)); +#23758=DIRECTION('center_axis',(-7.37009031920778E-17,-1.,7.89909089926252E-33)); +#23759=DIRECTION('ref_axis',(-5.82170133671969E-49,-7.89909089926252E-33, +-1.)); +#23760=DIRECTION('center_axis',(0.,0.,1.)); +#23761=DIRECTION('ref_axis',(0.,-1.,0.)); +#23762=DIRECTION('center_axis',(0.,0.,1.)); +#23763=DIRECTION('ref_axis',(0.,-1.,0.)); +#23764=DIRECTION('',(0.,0.,-1.)); +#23765=DIRECTION('center_axis',(-1.,0.,0.)); +#23766=DIRECTION('ref_axis',(0.,-1.,6.93889390390723E-16)); +#23767=DIRECTION('center_axis',(1.,0.,0.)); +#23768=DIRECTION('ref_axis',(0.,6.32657050433355E-16,1.)); +#23769=DIRECTION('',(1.,0.,0.)); +#23770=DIRECTION('center_axis',(0.,1.,0.)); +#23771=DIRECTION('ref_axis',(1.,0.,0.)); +#23772=DIRECTION('center_axis',(0.,-1.,0.)); +#23773=DIRECTION('ref_axis',(-0.989402757169624,0.,-0.14519705267376)); +#23774=DIRECTION('',(1.,0.,-2.19147958797517E-16)); +#23775=DIRECTION('center_axis',(0.,-1.,0.)); +#23776=DIRECTION('ref_axis',(0.962905718702071,0.,-0.269838056787489)); +#23777=DIRECTION('center_axis',(0.,1.,0.)); +#23778=DIRECTION('ref_axis',(-0.962905718701752,0.,-0.269838056788626)); +#23779=DIRECTION('center_axis',(0.,-1.,0.)); +#23780=DIRECTION('ref_axis',(0.989402757169624,0.,0.145197052673759)); +#23781=DIRECTION('center_axis',(0.,-1.,0.)); +#23782=DIRECTION('ref_axis',(-0.962905718701752,0.,0.269838056788626)); +#23783=DIRECTION('center_axis',(0.,1.,0.)); +#23784=DIRECTION('ref_axis',(0.962905718701752,0.,0.269838056788626)); +#23785=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23786=DIRECTION('ref_axis',(1.,0.,-1.05735526154777E-16)); +#23787=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23788=DIRECTION('ref_axis',(0.989402757410542,0.145197051032094,-1.04615021133791E-16)); +#23789=DIRECTION('center_axis',(-1.05735526154777E-16,0.,-1.)); +#23790=DIRECTION('ref_axis',(-0.962905718793333,-0.269838056461825,1.01813342814057E-16)); +#23791=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23792=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.01813342817766E-16)); +#23793=DIRECTION('',(1.,-1.09573979435637E-16,-2.19147958871275E-16)); +#23794=DIRECTION('center_axis',(-1.05735526154777E-16,3.08148791101958E-33, +-1.)); +#23795=DIRECTION('ref_axis',(-0.962905718290211,-0.269838058257195,1.01813342760859E-16)); +#23796=DIRECTION('center_axis',(1.05735526154777E-16,3.08148791101958E-33, +1.)); +#23797=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.01813342817766E-16)); +#23798=DIRECTION('center_axis',(-1.05735526154777E-16,0.,-1.)); +#23799=DIRECTION('ref_axis',(-0.989402757964648,0.145197047256299,1.0461502119238E-16)); +#23800=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23801=DIRECTION('ref_axis',(1.,0.,-1.05735526154777E-16)); +#23802=DIRECTION('',(1.,0.,0.)); +#23803=DIRECTION('',(0.,1.,0.)); +#23804=DIRECTION('center_axis',(0.,0.,1.)); +#23805=DIRECTION('ref_axis',(-1.,-1.38777878078145E-15,0.)); +#23806=DIRECTION('center_axis',(0.,1.,-1.07788643167491E-16)); +#23807=DIRECTION('ref_axis',(-1.,6.60015084197521E-33,6.12323399573677E-17)); +#23808=DIRECTION('center_axis',(-1.,0.,2.01858731750028E-16)); +#23809=DIRECTION('ref_axis',(2.01858731750028E-16,0.,1.)); +#23810=DIRECTION('',(0.,1.,-1.42336285208353E-16)); +#23811=DIRECTION('',(2.84672570416707E-16,0.,1.)); +#23812=DIRECTION('center_axis',(0.,0.,-1.)); +#23813=DIRECTION('ref_axis',(-1.,1.38777878078145E-15,1.73472347597681E-16)); +#23814=DIRECTION('center_axis',(-2.79888157468527E-16,3.23685704939042E-33, +-1.)); +#23815=DIRECTION('ref_axis',(-1.,1.44901112073881E-15,2.79888157468527E-16)); +#23816=DIRECTION('center_axis',(0.,1.,0.)); +#23817=DIRECTION('ref_axis',(1.,0.,0.)); +#23818=DIRECTION('',(0.,0.,1.)); +#23819=DIRECTION('',(1.,0.,0.)); +#23820=DIRECTION('center_axis',(0.,1.,0.)); +#23821=DIRECTION('ref_axis',(1.,0.,0.)); +#23822=DIRECTION('',(1.,0.,-2.89581383073544E-16)); +#23823=DIRECTION('',(0.,0.,-1.)); +#23824=DIRECTION('center_axis',(0.,0.,-1.)); +#23825=DIRECTION('ref_axis',(6.93889390390723E-16,1.,0.)); +#23826=DIRECTION('center_axis',(0.,0.,-1.)); +#23827=DIRECTION('ref_axis',(0.,1.,0.)); +#23828=DIRECTION('center_axis',(1.,0.,0.)); +#23829=DIRECTION('ref_axis',(0.,0.,-1.)); +#23830=DIRECTION('',(0.,1.,0.)); +#23831=DIRECTION('',(0.,0.,-1.)); +#23832=DIRECTION('center_axis',(0.,0.,1.)); +#23833=DIRECTION('ref_axis',(1.38777878078145E-15,-1.,0.)); +#23834=DIRECTION('center_axis',(-3.88534617376968E-15,1.,4.10819121976986E-31)); +#23835=DIRECTION('ref_axis',(1.5961745036847E-45,-4.10819121976986E-31, +1.)); +#23836=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#23837=DIRECTION('ref_axis',(1.,0.,-1.05735526154777E-16)); +#23838=DIRECTION('',(0.,1.,0.)); +#23839=DIRECTION('',(1.,-2.89581384694443E-16,0.)); +#23840=DIRECTION('center_axis',(1.,0.,0.)); +#23841=DIRECTION('ref_axis',(0.,1.,6.93889390390723E-16)); +#23842=DIRECTION('center_axis',(0.978467064337926,0.145949524568936,0.145948416517694)); +#23843=DIRECTION('ref_axis',(0.206403012372569,-0.691959110441249,-0.691802273746541)); +#23844=DIRECTION('center_axis',(-0.978467241911895,0.145948368738066,0.145948381861623)); +#23845=DIRECTION('ref_axis',(0.20640217060481,0.691806125697647,0.691955510431729)); +#23846=DIRECTION('center_axis',(1.,0.,0.)); +#23847=DIRECTION('ref_axis',(0.,1.,6.93889390390723E-16)); +#23848=DIRECTION('center_axis',(-1.,0.,0.)); +#23849=DIRECTION('ref_axis',(0.,-6.32657050433355E-16,1.)); +#23850=DIRECTION('center_axis',(0.,-1.,1.07788643167491E-16)); +#23851=DIRECTION('ref_axis',(0.,1.07788643167491E-16,1.)); +#23852=DIRECTION('center_axis',(1.09921505959131E-15,-1.,-1.16226082683141E-31)); +#23853=DIRECTION('ref_axis',(1.27757460402614E-46,-1.16226082683141E-31, +1.)); +#23854=DIRECTION('center_axis',(2.79888157468527E-16,0.,1.)); +#23855=DIRECTION('ref_axis',(1.38777878078145E-15,1.,-3.88422845926839E-31)); +#23856=DIRECTION('center_axis',(2.79888157468527E-16,-3.23685704939042E-33, +1.)); +#23857=DIRECTION('ref_axis',(1.38777878078145E-15,1.,-3.85185988877448E-31)); +#23858=DIRECTION('center_axis',(1.,0.,0.)); +#23859=DIRECTION('ref_axis',(0.,1.,6.93889390390723E-16)); +#23860=DIRECTION('center_axis',(-1.,-2.82534752406804E-15,1.05735526154777E-16)); +#23861=DIRECTION('ref_axis',(1.05735526154777E-16,2.9873960702743E-31,1.)); +#23862=DIRECTION('center_axis',(0.,0.,-1.)); +#23863=DIRECTION('ref_axis',(0.,1.,0.)); +#23864=DIRECTION('center_axis',(0.,0.,1.)); +#23865=DIRECTION('ref_axis',(1.,6.12323399573677E-17,0.)); +#23866=DIRECTION('center_axis',(1.07788643167491E-16,-1.,0.)); +#23867=DIRECTION('ref_axis',(1.,1.07788643167491E-16,0.)); +#23868=DIRECTION('center_axis',(-1.07788643167491E-16,1.,0.)); +#23869=DIRECTION('ref_axis',(6.12323399573677E-17,6.60015084197521E-33, +1.)); +#23870=DIRECTION('center_axis',(0.,0.,1.)); +#23871=DIRECTION('ref_axis',(1.38777878078145E-15,1.,0.)); +#23872=DIRECTION('center_axis',(0.,0.,1.)); +#23873=DIRECTION('ref_axis',(1.,0.,0.)); +#23874=DIRECTION('axis',(0.,0.,1.)); +#23875=DIRECTION('refdir',(1.,0.,0.)); +#23876=DIRECTION('center_axis',(1.,0.,7.02672800395669E-17)); +#23877=DIRECTION('ref_axis',(-1.21894300277673E-32,-1.,1.73472347597681E-16)); +#23878=DIRECTION('',(-1.,0.,-6.62290854960071E-17)); +#23879=DIRECTION('',(-1.,0.,-8.44563654907646E-17)); +#23880=DIRECTION('center_axis',(1.,0.,7.02672800395669E-17)); +#23881=DIRECTION('ref_axis',(-1.21894300277673E-32,-1.,1.73472347597681E-16)); +#23882=DIRECTION('',(-1.,0.,-9.81720201967519E-17)); +#23883=DIRECTION('center_axis',(-1.,-2.73691106313441E-48,-7.02672800395669E-17)); +#23884=DIRECTION('ref_axis',(7.02672800395669E-17,-2.34704687555048E-16, +-1.)); +#23885=DIRECTION('',(-1.,0.,-6.62290854960072E-17)); +#23886=DIRECTION('center_axis',(1.,0.,7.02672800395669E-17)); +#23887=DIRECTION('ref_axis',(-1.21894300277673E-32,-1.,1.73472347597681E-16)); +#23888=DIRECTION('',(-1.,0.,-6.62290854960071E-17)); +#23889=DIRECTION('center_axis',(1.,0.,0.)); +#23890=DIRECTION('ref_axis',(0.,-1.,0.)); +#23891=DIRECTION('',(-1.,0.,-9.81720202670025E-17)); +#23892=DIRECTION('center_axis',(6.62290854960071E-17,0.,-1.)); +#23893=DIRECTION('ref_axis',(-1.,0.,-6.62290854960071E-17)); +#23894=DIRECTION('center_axis',(-6.62290854960071E-17,0.,1.)); +#23895=DIRECTION('ref_axis',(0.989402757149803,0.14519705280882,6.55272397932595E-17)); +#23896=DIRECTION('center_axis',(6.62290854960071E-17,0.,-1.)); +#23897=DIRECTION('ref_axis',(-0.989402757149803,0.145197052808821,-6.55272397932595E-17)); +#23898=DIRECTION('center_axis',(-6.62290854960071E-17,0.,1.)); +#23899=DIRECTION('ref_axis',(0.962905718694264,-0.269838056815346,6.37723651679966E-17)); +#23900=DIRECTION('center_axis',(6.62290854960071E-17,0.,-1.)); +#23901=DIRECTION('ref_axis',(-0.962905718694265,-0.269838056815346,-6.37723651679966E-17)); +#23902=DIRECTION('',(-1.,0.,-6.62290854960071E-17)); +#23903=DIRECTION('center_axis',(-6.62290854960071E-17,0.,1.)); +#23904=DIRECTION('ref_axis',(0.962905718694264,-0.269838056815347,6.37723651679966E-17)); +#23905=DIRECTION('center_axis',(6.62290854960071E-17,0.,-1.)); +#23906=DIRECTION('ref_axis',(-0.962905718694265,-0.269838056815346,-6.37723651679966E-17)); +#23907=DIRECTION('center_axis',(0.,1.,0.)); +#23908=DIRECTION('ref_axis',(1.,0.,0.)); +#23909=DIRECTION('center_axis',(0.,-1.,0.)); +#23910=DIRECTION('ref_axis',(-0.991487839899396,0.,-0.130199321548268)); +#23911=DIRECTION('center_axis',(0.,1.,0.)); +#23912=DIRECTION('ref_axis',(0.969439728346925,0.,0.24532960095072)); +#23913=DIRECTION('center_axis',(0.,-1.,0.)); +#23914=DIRECTION('ref_axis',(-0.969439728897653,0.,0.245329598774474)); +#23915=DIRECTION('center_axis',(0.,-1.,0.)); +#23916=DIRECTION('ref_axis',(0.991487839977214,0.,0.130199320955677)); +#23917=DIRECTION('center_axis',(0.,1.,0.)); +#23918=DIRECTION('ref_axis',(-0.969439728193989,0.,-0.24532960155506)); +#23919=DIRECTION('center_axis',(0.,-1.,0.)); +#23920=DIRECTION('ref_axis',(0.969439728689924,0.,-0.245329599595335)); +#23921=DIRECTION('',(1.,0.,3.37825462037753E-16)); +#23922=DIRECTION('center_axis',(0.,1.,0.)); +#23923=DIRECTION('ref_axis',(1.,0.,0.)); +#23924=DIRECTION('',(1.,0.,0.)); +#23925=DIRECTION('',(0.,0.,1.)); +#23926=DIRECTION('center_axis',(0.,-1.,0.)); +#23927=DIRECTION('ref_axis',(-1.,0.,0.)); +#23928=DIRECTION('center_axis',(0.,0.,-1.)); +#23929=DIRECTION('ref_axis',(0.,-1.,0.)); +#23930=DIRECTION('center_axis',(0.,-1.,0.)); +#23931=DIRECTION('ref_axis',(-1.,0.,0.)); +#23932=DIRECTION('center_axis',(6.62290854960071E-17,0.,-1.)); +#23933=DIRECTION('ref_axis',(-1.,0.,-6.62290854960071E-17)); +#23934=DIRECTION('',(0.,1.,0.)); +#23935=DIRECTION('',(-1.,0.,-6.62290854960071E-17)); +#23936=DIRECTION('center_axis',(6.62290854960071E-17,0.,-1.)); +#23937=DIRECTION('ref_axis',(-1.,0.,-6.62290854960071E-17)); +#23938=DIRECTION('',(-1.,0.,-6.62290854960071E-17)); +#23939=DIRECTION('',(0.,1.,-7.90125105389635E-33)); +#23940=DIRECTION('center_axis',(0.,-1.,0.)); +#23941=DIRECTION('ref_axis',(0.,0.,-1.)); +#23942=DIRECTION('center_axis',(0.,0.,-1.)); +#23943=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,0.)); +#23944=DIRECTION('center_axis',(0.,-1.,0.)); +#23945=DIRECTION('ref_axis',(0.,0.,-1.)); +#23946=DIRECTION('center_axis',(0.,1.,0.)); +#23947=DIRECTION('ref_axis',(1.,0.,0.)); +#23948=DIRECTION('',(-1.26161707343768E-16,0.,-1.)); +#23949=DIRECTION('',(1.,0.,0.)); +#23950=DIRECTION('center_axis',(-1.,0.,-7.02672800395669E-17)); +#23951=DIRECTION('ref_axis',(-1.21894300277673E-32,1.,1.73472347597681E-16)); +#23952=DIRECTION('',(-1.,0.,-8.44563654907646E-17)); +#23953=DIRECTION('center_axis',(-1.,0.,-1.40534560079134E-16)); +#23954=DIRECTION('ref_axis',(0.,-1.,0.)); +#23955=DIRECTION('',(1.,0.,1.69084374968593E-16)); +#23956=DIRECTION('center_axis',(-1.,0.,-1.40534560079134E-16)); +#23957=DIRECTION('ref_axis',(0.,-1.,0.)); +#23958=DIRECTION('',(1.,0.,0.)); +#23959=DIRECTION('center_axis',(-1.,0.,-6.93889390390723E-16)); +#23960=DIRECTION('ref_axis',(0.,-1.,0.)); +#23961=DIRECTION('center_axis',(0.,0.,-1.)); +#23962=DIRECTION('ref_axis',(6.93889390390723E-16,-1.,0.)); +#23963=DIRECTION('',(0.,0.,1.)); +#23964=DIRECTION('center_axis',(0.,0.,1.)); +#23965=DIRECTION('ref_axis',(-1.,-7.5512173034809E-16,0.)); +#23966=DIRECTION('center_axis',(0.,-1.,0.)); +#23967=DIRECTION('ref_axis',(-1.,0.,0.)); +#23968=DIRECTION('',(0.,1.,3.55840713020883E-17)); +#23969=DIRECTION('center_axis',(0.,1.,0.)); +#23970=DIRECTION('ref_axis',(1.60812264967664E-16,0.,-1.)); +#23971=DIRECTION('center_axis',(-1.,0.,-7.02672800395669E-17)); +#23972=DIRECTION('ref_axis',(-1.21894300277673E-32,1.,1.73472347597681E-16)); +#23973=DIRECTION('center_axis',(1.,-4.10536659470161E-48,7.02672800395669E-17)); +#23974=DIRECTION('ref_axis',(7.02672800395669E-17,2.34704687555048E-16, +-1.)); +#23975=DIRECTION('',(-1.,0.,-9.81720201967519E-17)); +#23976=DIRECTION('center_axis',(-1.,0.,-7.02672800395669E-17)); +#23977=DIRECTION('ref_axis',(-1.21894300277673E-32,1.,1.73472347597681E-16)); +#23978=DIRECTION('',(-1.,0.,-9.81720202670025E-17)); +#23979=DIRECTION('center_axis',(-1.,0.,6.04901474817726E-16)); +#23980=DIRECTION('ref_axis',(0.,1.,0.)); +#23981=DIRECTION('center_axis',(0.,-1.,0.)); +#23982=DIRECTION('ref_axis',(0.,0.,-1.)); +#23983=DIRECTION('center_axis',(0.,1.,0.)); +#23984=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); +#23985=DIRECTION('',(0.,1.,0.)); +#23986=DIRECTION('center_axis',(0.,0.,1.)); +#23987=DIRECTION('ref_axis',(0.,-1.,0.)); +#23988=DIRECTION('',(0.,0.,-1.)); +#23989=DIRECTION('center_axis',(0.,0.,1.)); +#23990=DIRECTION('ref_axis',(0.,-1.,0.)); +#23991=DIRECTION('center_axis',(-1.,0.,-1.40534560079134E-16)); +#23992=DIRECTION('ref_axis',(0.,-1.,0.)); +#23993=DIRECTION('center_axis',(1.,0.,1.40534560079134E-16)); +#23994=DIRECTION('ref_axis',(-1.40534560079134E-16,-6.12323399573677E-17, +1.)); +#23995=DIRECTION('',(1.,0.,0.)); +#23996=DIRECTION('center_axis',(0.,1.,0.)); +#23997=DIRECTION('ref_axis',(1.,0.,0.)); +#23998=DIRECTION('center_axis',(0.,-1.,0.)); +#23999=DIRECTION('ref_axis',(-0.991487839899396,0.,-0.130199321548268)); +#24000=DIRECTION('',(1.,0.,3.37825462037753E-16)); +#24001=DIRECTION('center_axis',(0.,-1.,0.)); +#24002=DIRECTION('ref_axis',(0.969439728689924,0.,-0.245329599595335)); +#24003=DIRECTION('center_axis',(0.,1.,0.)); +#24004=DIRECTION('ref_axis',(-0.969439728193989,0.,-0.24532960155506)); +#24005=DIRECTION('center_axis',(0.,-1.,0.)); +#24006=DIRECTION('ref_axis',(0.991487839977214,0.,0.130199320955677)); +#24007=DIRECTION('center_axis',(0.,-1.,0.)); +#24008=DIRECTION('ref_axis',(-0.969439728897653,0.,0.245329598774474)); +#24009=DIRECTION('center_axis',(0.,1.,0.)); +#24010=DIRECTION('ref_axis',(0.969439728346925,0.,0.24532960095072)); +#24011=DIRECTION('center_axis',(-1.00929365875014E-16,0.,1.)); +#24012=DIRECTION('ref_axis',(1.,0.,1.00929365875014E-16)); +#24013=DIRECTION('center_axis',(-1.00929365875014E-16,0.,1.)); +#24014=DIRECTION('ref_axis',(0.989402757149803,0.14519705280882,9.98597928741201E-17)); +#24015=DIRECTION('center_axis',(1.00929365875014E-16,3.08148791101958E-33, +-1.)); +#24016=DIRECTION('ref_axis',(-0.962905718694265,-0.269838056815346,-9.71854635852367E-17)); +#24017=DIRECTION('center_axis',(-1.00929365875014E-16,0.,1.)); +#24018=DIRECTION('ref_axis',(0.962905718694264,-0.269838056815347,9.71854635852367E-17)); +#24019=DIRECTION('',(1.,0.,1.69084374968593E-16)); +#24020=DIRECTION('center_axis',(1.00929365875014E-16,0.,-1.)); +#24021=DIRECTION('ref_axis',(-0.962905718694265,-0.269838056815346,-9.71854635852367E-17)); +#24022=DIRECTION('center_axis',(-1.00929365875014E-16,0.,1.)); +#24023=DIRECTION('ref_axis',(0.962905718694264,-0.269838056815346,9.71854635852367E-17)); +#24024=DIRECTION('center_axis',(1.00929365875014E-16,0.,-1.)); +#24025=DIRECTION('ref_axis',(-0.989402757149803,0.145197052808821,-9.98597928741201E-17)); +#24026=DIRECTION('center_axis',(-1.00929365875014E-16,0.,1.)); +#24027=DIRECTION('ref_axis',(1.,0.,1.00929365875014E-16)); +#24028=DIRECTION('',(1.,0.,0.)); +#24029=DIRECTION('',(0.,1.,0.)); +#24030=DIRECTION('center_axis',(0.,-1.,0.)); +#24031=DIRECTION('ref_axis',(-6.93889390390723E-16,0.,1.)); +#24032=DIRECTION('center_axis',(0.,-1.,0.)); +#24033=DIRECTION('ref_axis',(-6.93889390390723E-16,0.,1.)); +#24034=DIRECTION('center_axis',(-1.,0.,0.)); +#24035=DIRECTION('ref_axis',(0.,0.,1.)); +#24036=DIRECTION('',(0.,1.,0.)); +#24037=DIRECTION('',(0.,-1.26161707343768E-16,1.)); +#24038=DIRECTION('center_axis',(0.,1.,0.)); +#24039=DIRECTION('ref_axis',(0.,0.,-1.)); +#24040=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); +#24041=DIRECTION('ref_axis',(0.,1.,0.)); +#24042=DIRECTION('center_axis',(0.,1.,0.)); +#24043=DIRECTION('ref_axis',(1.,0.,0.)); +#24044=DIRECTION('',(0.,0.,1.)); +#24045=DIRECTION('',(1.,0.,0.)); +#24046=DIRECTION('center_axis',(0.,1.,0.)); +#24047=DIRECTION('ref_axis',(1.,0.,0.)); +#24048=DIRECTION('',(1.,0.,0.)); +#24049=DIRECTION('',(-1.26161707343768E-16,0.,-1.)); +#24050=DIRECTION('center_axis',(0.,1.,0.)); +#24051=DIRECTION('ref_axis',(1.,0.,0.)); +#24052=DIRECTION('center_axis',(0.,0.,1.)); +#24053=DIRECTION('ref_axis',(1.,6.12323399573677E-17,0.)); +#24054=DIRECTION('center_axis',(1.,0.,0.)); +#24055=DIRECTION('ref_axis',(0.,0.,-1.)); +#24056=DIRECTION('',(0.,-1.26161707343768E-16,-1.)); +#24057=DIRECTION('',(0.,1.,0.)); +#24058=DIRECTION('center_axis',(0.,-1.,0.)); +#24059=DIRECTION('ref_axis',(1.,0.,0.)); +#24060=DIRECTION('center_axis',(0.,-1.,0.)); +#24061=DIRECTION('ref_axis',(1.,0.,0.)); +#24062=DIRECTION('center_axis',(-1.00929365875014E-16,0.,1.)); +#24063=DIRECTION('ref_axis',(1.,0.,1.00929365875014E-16)); +#24064=DIRECTION('',(0.,1.,0.)); +#24065=DIRECTION('',(1.,0.,0.)); +#24066=DIRECTION('center_axis',(1.,0.,1.40534560079134E-16)); +#24067=DIRECTION('ref_axis',(0.,1.,0.)); +#24068=DIRECTION('center_axis',(1.,0.,1.40534560079134E-16)); +#24069=DIRECTION('ref_axis',(0.,1.,0.)); +#24070=DIRECTION('center_axis',(1.,0.,1.17145536458252E-15)); +#24071=DIRECTION('ref_axis',(-1.62572089760022E-30,1.,1.38777878078145E-15)); +#24072=DIRECTION('center_axis',(0.,-1.,0.)); +#24073=DIRECTION('ref_axis',(-6.93889390390722E-16,0.,1.)); +#24074=DIRECTION('center_axis',(0.,1.,0.)); +#24075=DIRECTION('ref_axis',(-1.,0.,-6.32657050433356E-16)); +#24076=DIRECTION('center_axis',(0.,0.,1.)); +#24077=DIRECTION('ref_axis',(6.93889390390723E-16,1.,0.)); +#24078=DIRECTION('center_axis',(0.,0.,-1.)); +#24079=DIRECTION('ref_axis',(-1.,7.5512173034809E-16,0.)); +#24080=DIRECTION('center_axis',(1.,0.,1.40534560079134E-16)); +#24081=DIRECTION('ref_axis',(0.,1.,0.)); +#24082=DIRECTION('center_axis',(-1.,0.,-1.40534560079134E-16)); +#24083=DIRECTION('ref_axis',(-1.40534560079134E-16,6.12323399573677E-17, +1.)); +#24084=DIRECTION('center_axis',(0.,0.,-1.)); +#24085=DIRECTION('ref_axis',(0.,1.,0.)); +#24086=DIRECTION('center_axis',(-1.93716910436791E-15,0.,-1.)); +#24087=DIRECTION('ref_axis',(0.,1.,0.)); +#24088=DIRECTION('center_axis',(0.,-1.,0.)); +#24089=DIRECTION('ref_axis',(1.,0.,0.)); +#24090=DIRECTION('center_axis',(0.,1.,0.)); +#24091=DIRECTION('ref_axis',(-1.60812264967664E-16,0.,1.)); +#24092=DIRECTION('center_axis',(0.,1.,0.)); +#24093=DIRECTION('ref_axis',(-1.,0.,0.)); +#24094=DIRECTION('center_axis',(0.,1.,0.)); +#24095=DIRECTION('ref_axis',(0.,0.,1.)); +#24096=DIRECTION('axis',(0.,0.,1.)); +#24097=DIRECTION('refdir',(1.,0.,0.)); +#24098=DIRECTION('center_axis',(1.,0.,-9.07044954759115E-17)); +#24099=DIRECTION('ref_axis',(-1.14176799952677E-47,-1.,-1.25877774142957E-31)); +#24100=DIRECTION('center_axis',(0.978467065334197,-0.145948719983682,-0.145949214425461)); +#24101=DIRECTION('ref_axis',(0.206403007694902,0.69195385399135,0.691807532743765)); +#24102=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#24103=DIRECTION('center_axis',(0.978467316130872,0.145948034755038,0.145948218265213)); +#24104=DIRECTION('ref_axis',(0.206401818713116,-0.691956699944389,-0.691805040913977)); +#24105=DIRECTION('',(-1.,0.,8.2180484548367E-17)); +#24106=DIRECTION('center_axis',(1.,0.,-9.07044954759115E-17)); +#24107=DIRECTION('ref_axis',(-1.14176799952677E-47,-1.,-1.25877774142957E-31)); +#24108=DIRECTION('',(-1.,0.,1.44790692716194E-16)); +#24109=DIRECTION('center_axis',(-1.,-1.14176799952676E-47,9.07044954759115E-17)); +#24110=DIRECTION('ref_axis',(-9.07044954759115E-17,-6.12323399573677E-17, +-1.)); +#24111=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#24112=DIRECTION('center_axis',(1.,0.,-9.07044954759115E-17)); +#24113=DIRECTION('ref_axis',(-1.14176799952677E-47,-1.,-1.25877774142957E-31)); +#24114=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#24115=DIRECTION('center_axis',(-1.,-9.49410759657493E-16,1.07177667533817E-16)); +#24116=DIRECTION('ref_axis',(-1.07177667533817E-16,-1.01755630751599E-31, +-1.)); +#24117=DIRECTION('',(-1.,0.,7.23953461393682E-17)); +#24118=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#24119=DIRECTION('ref_axis',(-1.,0.,1.07177667533817E-16)); +#24120=DIRECTION('center_axis',(1.07177667533817E-16,0.,1.)); +#24121=DIRECTION('ref_axis',(0.989402757410542,0.145197051032094,-1.06041879790789E-16)); +#24122=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#24123=DIRECTION('ref_axis',(-0.989402757964648,0.1451970472563,1.06041879850177E-16)); +#24124=DIRECTION('center_axis',(1.07177667533817E-16,0.,1.)); +#24125=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.03201988999003E-16)); +#24126=DIRECTION('center_axis',(-1.07177667533817E-16,-6.16297582203915E-33, +-1.)); +#24127=DIRECTION('ref_axis',(-0.962905718290211,-0.269838058257195,1.03201988941319E-16)); +#24128=DIRECTION('',(-1.,-1.09573979435637E-16,1.07177667533817E-16)); +#24129=DIRECTION('center_axis',(1.07177667533817E-16,0.,1.)); +#24130=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.03201988999003E-16)); +#24131=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#24132=DIRECTION('ref_axis',(-0.962905718793333,-0.269838056461825,1.03201988995243E-16)); +#24133=DIRECTION('center_axis',(0.,1.,0.)); +#24134=DIRECTION('ref_axis',(1.,0.,0.)); +#24135=DIRECTION('center_axis',(0.,-1.,0.)); +#24136=DIRECTION('ref_axis',(-0.989402757169624,0.,-0.14519705267376)); +#24137=DIRECTION('center_axis',(0.,1.,0.)); +#24138=DIRECTION('ref_axis',(0.962905718701752,0.,0.269838056788626)); +#24139=DIRECTION('center_axis',(0.,-1.,0.)); +#24140=DIRECTION('ref_axis',(-0.962905718701752,0.,0.269838056788626)); +#24141=DIRECTION('center_axis',(0.,-1.,0.)); +#24142=DIRECTION('ref_axis',(0.989402757169624,0.,0.145197052673759)); +#24143=DIRECTION('center_axis',(0.,1.,0.)); +#24144=DIRECTION('ref_axis',(-0.962905718701752,0.,-0.269838056788626)); +#24145=DIRECTION('center_axis',(0.,-1.,0.)); +#24146=DIRECTION('ref_axis',(0.962905718702071,0.,-0.269838056787489)); +#24147=DIRECTION('',(1.,0.,-2.19147958797517E-16)); +#24148=DIRECTION('center_axis',(0.,1.,0.)); +#24149=DIRECTION('ref_axis',(1.,0.,0.)); +#24150=DIRECTION('',(1.,0.,0.)); +#24151=DIRECTION('',(0.,0.,1.)); +#24152=DIRECTION('center_axis',(0.,0.,-1.)); +#24153=DIRECTION('ref_axis',(6.93889390390723E-16,-1.,0.)); +#24154=DIRECTION('center_axis',(-2.79888157468527E-16,-3.23685704939042E-33, +-1.)); +#24155=DIRECTION('ref_axis',(1.38777878078145E-15,-1.,-3.85185988877448E-31)); +#24156=DIRECTION('center_axis',(1.80223586121847E-15,1.,-1.93159435951195E-31)); +#24157=DIRECTION('ref_axis',(-3.48118862403975E-46,-1.93159435951195E-31, +-1.)); +#24158=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#24159=DIRECTION('ref_axis',(-1.,0.,1.07177667533817E-16)); +#24160=DIRECTION('',(0.,1.,0.)); +#24161=DIRECTION('',(-1.,0.,1.07177667533817E-16)); +#24162=DIRECTION('center_axis',(-1.07177667533817E-16,0.,-1.)); +#24163=DIRECTION('ref_axis',(-1.,0.,1.07177667533817E-16)); +#24164=DIRECTION('',(-1.,2.89579745861337E-16,1.07177667533817E-16)); +#24165=DIRECTION('',(0.,1.,0.)); +#24166=DIRECTION('center_axis',(0.,0.,-1.)); +#24167=DIRECTION('ref_axis',(1.,0.,-1.73472347597681E-16)); +#24168=DIRECTION('center_axis',(0.,0.,-1.)); +#24169=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,0.)); +#24170=DIRECTION('center_axis',(0.,1.,2.69471607918727E-17)); +#24171=DIRECTION('ref_axis',(1.,-4.33343396138888E-33,1.60812264967664E-16)); +#24172=DIRECTION('center_axis',(0.,1.,0.)); +#24173=DIRECTION('ref_axis',(1.,0.,0.)); +#24174=DIRECTION('',(0.,0.,-1.)); +#24175=DIRECTION('',(1.,0.,0.)); +#24176=DIRECTION('center_axis',(-1.,0.,9.07044954759115E-17)); +#24177=DIRECTION('ref_axis',(-1.14176799952677E-47,1.,-1.25877774142957E-31)); +#24178=DIRECTION('center_axis',(-0.978467065119031,0.145949513663962,-0.145948422186067)); +#24179=DIRECTION('ref_axis',(0.206403008670007,0.691959061530104,-0.691802323773451)); +#24180=DIRECTION('center_axis',(-0.978467596052367,-0.145947187616046,0.14594718874466)); +#24181=DIRECTION('ref_axis',(0.206400491808514,-0.6919542797063,0.691807857556807)); +#24182=DIRECTION('',(-1.,0.,8.2180484548367E-17)); +#24183=DIRECTION('center_axis',(-1.,0.,0.)); +#24184=DIRECTION('ref_axis',(0.,-1.,6.93889390390723E-16)); +#24185=DIRECTION('center_axis',(-0.978467694602892,0.145946952153187,-0.145946763498537)); +#24186=DIRECTION('ref_axis',(0.206400024631051,0.691954324799983,-0.691807951835551)); +#24187=DIRECTION('center_axis',(-0.97846744203188,-0.145947156703288,0.145948252246525)); +#24188=DIRECTION('ref_axis',(0.206401221960617,-0.691951580527173,0.69181033945664)); +#24189=DIRECTION('',(1.,0.,-2.19147958863962E-16)); +#24190=DIRECTION('center_axis',(-1.,0.,0.)); +#24191=DIRECTION('ref_axis',(0.,-1.,6.93889390390723E-16)); +#24192=DIRECTION('',(1.,0.,0.)); +#24193=DIRECTION('center_axis',(1.,2.8808586752993E-15,-1.05735526154777E-16)); +#24194=DIRECTION('ref_axis',(1.05735526154781E-16,-1.38777878078145E-15, +1.)); +#24195=DIRECTION('center_axis',(-2.79888157468527E-16,0.,-1.)); +#24196=DIRECTION('ref_axis',(1.38777878078145E-15,-1.,-3.88422845926839E-31)); +#24197=DIRECTION('center_axis',(2.79888157468527E-16,3.23685704939042E-33, +1.)); +#24198=DIRECTION('ref_axis',(-1.,-1.44901112073881E-15,2.79888157468527E-16)); +#24199=DIRECTION('',(2.84672570416707E-16,0.,1.)); +#24200=DIRECTION('center_axis',(0.,-1.,0.)); +#24201=DIRECTION('ref_axis',(-1.,0.,1.73472347597681E-16)); +#24202=DIRECTION('',(0.,1.,0.)); +#24203=DIRECTION('center_axis',(0.,1.,0.)); +#24204=DIRECTION('ref_axis',(-1.26600826300171E-17,0.,-1.)); +#24205=DIRECTION('center_axis',(-1.,0.,9.07044954759115E-17)); +#24206=DIRECTION('ref_axis',(-1.14176799952677E-47,1.,-1.25877774142957E-31)); +#24207=DIRECTION('center_axis',(1.,-4.21312630484949E-15,-1.07177667533817E-16)); +#24208=DIRECTION('ref_axis',(-1.07177667533813E-16,1.04083408558608E-15, +-1.)); +#24209=DIRECTION('',(-1.,0.,1.44790692233229E-16)); +#24210=DIRECTION('center_axis',(-1.,0.,9.07044954759115E-17)); +#24211=DIRECTION('ref_axis',(-1.14176799952677E-47,1.,-1.25877774142957E-31)); +#24212=DIRECTION('',(-1.,0.,7.23953461906134E-17)); +#24213=DIRECTION('center_axis',(1.,-1.14176799952676E-47,-9.07044954759115E-17)); +#24214=DIRECTION('ref_axis',(-9.07044954759115E-17,6.12323399573677E-17, +-1.)); +#24215=DIRECTION('center_axis',(0.,-1.,-2.69471607918727E-17)); +#24216=DIRECTION('ref_axis',(0.,2.69471607918727E-17,-1.)); +#24217=DIRECTION('',(0.,1.,1.42336285208353E-16)); +#24218=DIRECTION('center_axis',(-7.37009031920778E-17,-1.,7.89909089926252E-33)); +#24219=DIRECTION('ref_axis',(-5.82170133671969E-49,-7.89909089926252E-33, +-1.)); +#24220=DIRECTION('center_axis',(0.,0.,1.)); +#24221=DIRECTION('ref_axis',(0.,-1.,0.)); +#24222=DIRECTION('center_axis',(0.,0.,1.)); +#24223=DIRECTION('ref_axis',(0.,-1.,0.)); +#24224=DIRECTION('',(0.,0.,-1.)); +#24225=DIRECTION('center_axis',(-1.,0.,0.)); +#24226=DIRECTION('ref_axis',(0.,-1.,6.93889390390723E-16)); +#24227=DIRECTION('center_axis',(1.,0.,0.)); +#24228=DIRECTION('ref_axis',(0.,6.32657050433355E-16,1.)); +#24229=DIRECTION('',(1.,0.,0.)); +#24230=DIRECTION('center_axis',(0.,1.,0.)); +#24231=DIRECTION('ref_axis',(1.,0.,0.)); +#24232=DIRECTION('center_axis',(0.,-1.,0.)); +#24233=DIRECTION('ref_axis',(-0.989402757169624,0.,-0.14519705267376)); +#24234=DIRECTION('',(1.,0.,-2.19147958797517E-16)); +#24235=DIRECTION('center_axis',(0.,-1.,0.)); +#24236=DIRECTION('ref_axis',(0.962905718702071,0.,-0.269838056787489)); +#24237=DIRECTION('center_axis',(0.,1.,0.)); +#24238=DIRECTION('ref_axis',(-0.962905718701752,0.,-0.269838056788626)); +#24239=DIRECTION('center_axis',(0.,-1.,0.)); +#24240=DIRECTION('ref_axis',(0.989402757169624,0.,0.145197052673759)); +#24241=DIRECTION('center_axis',(0.,-1.,0.)); +#24242=DIRECTION('ref_axis',(-0.962905718701752,0.,0.269838056788626)); +#24243=DIRECTION('center_axis',(0.,1.,0.)); +#24244=DIRECTION('ref_axis',(0.962905718701752,0.,0.269838056788626)); +#24245=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#24246=DIRECTION('ref_axis',(1.,0.,-1.05735526154777E-16)); +#24247=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#24248=DIRECTION('ref_axis',(0.989402757410542,0.145197051032094,-1.04615021133791E-16)); +#24249=DIRECTION('center_axis',(-1.05735526154777E-16,0.,-1.)); +#24250=DIRECTION('ref_axis',(-0.962905718793333,-0.269838056461825,1.01813342814057E-16)); +#24251=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#24252=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.01813342817766E-16)); +#24253=DIRECTION('',(1.,-1.09573979435637E-16,-2.19147958871275E-16)); +#24254=DIRECTION('center_axis',(-1.05735526154777E-16,3.08148791101958E-33, +-1.)); +#24255=DIRECTION('ref_axis',(-0.962905718290211,-0.269838058257195,1.01813342760859E-16)); +#24256=DIRECTION('center_axis',(1.05735526154777E-16,3.08148791101958E-33, +1.)); +#24257=DIRECTION('ref_axis',(0.962905718828417,-0.269838056336629,-1.01813342817766E-16)); +#24258=DIRECTION('center_axis',(-1.05735526154777E-16,0.,-1.)); +#24259=DIRECTION('ref_axis',(-0.989402757964648,0.145197047256299,1.0461502119238E-16)); +#24260=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#24261=DIRECTION('ref_axis',(1.,0.,-1.05735526154777E-16)); +#24262=DIRECTION('',(1.,0.,0.)); +#24263=DIRECTION('',(0.,1.,0.)); +#24264=DIRECTION('center_axis',(0.,0.,1.)); +#24265=DIRECTION('ref_axis',(-1.,-1.38777878078145E-15,0.)); +#24266=DIRECTION('center_axis',(0.,1.,-1.07788643167491E-16)); +#24267=DIRECTION('ref_axis',(-1.,6.60015084197521E-33,6.12323399573677E-17)); +#24268=DIRECTION('center_axis',(-1.,0.,2.01858731750028E-16)); +#24269=DIRECTION('ref_axis',(2.01858731750028E-16,0.,1.)); +#24270=DIRECTION('',(0.,1.,-1.42336285208353E-16)); +#24271=DIRECTION('',(2.84672570416707E-16,0.,1.)); +#24272=DIRECTION('center_axis',(0.,0.,-1.)); +#24273=DIRECTION('ref_axis',(-1.,1.38777878078145E-15,1.73472347597681E-16)); +#24274=DIRECTION('center_axis',(-2.79888157468527E-16,3.23685704939042E-33, +-1.)); +#24275=DIRECTION('ref_axis',(-1.,1.44901112073881E-15,2.79888157468527E-16)); +#24276=DIRECTION('center_axis',(0.,1.,0.)); +#24277=DIRECTION('ref_axis',(1.,0.,0.)); +#24278=DIRECTION('',(0.,0.,1.)); +#24279=DIRECTION('',(1.,0.,0.)); +#24280=DIRECTION('center_axis',(0.,1.,0.)); +#24281=DIRECTION('ref_axis',(1.,0.,0.)); +#24282=DIRECTION('',(1.,0.,-2.89581383073544E-16)); +#24283=DIRECTION('',(0.,0.,-1.)); +#24284=DIRECTION('center_axis',(0.,0.,-1.)); +#24285=DIRECTION('ref_axis',(6.93889390390723E-16,1.,0.)); +#24286=DIRECTION('center_axis',(0.,0.,-1.)); +#24287=DIRECTION('ref_axis',(0.,1.,0.)); +#24288=DIRECTION('center_axis',(1.,0.,0.)); +#24289=DIRECTION('ref_axis',(0.,0.,-1.)); +#24290=DIRECTION('',(0.,1.,0.)); +#24291=DIRECTION('',(0.,0.,-1.)); +#24292=DIRECTION('center_axis',(0.,0.,1.)); +#24293=DIRECTION('ref_axis',(1.38777878078145E-15,-1.,0.)); +#24294=DIRECTION('center_axis',(-3.88534617376968E-15,1.,4.10819121976986E-31)); +#24295=DIRECTION('ref_axis',(1.5961745036847E-45,-4.10819121976986E-31, +1.)); +#24296=DIRECTION('center_axis',(1.05735526154777E-16,0.,1.)); +#24297=DIRECTION('ref_axis',(1.,0.,-1.05735526154777E-16)); +#24298=DIRECTION('',(0.,1.,0.)); +#24299=DIRECTION('',(1.,-2.89581384694443E-16,0.)); +#24300=DIRECTION('center_axis',(1.,0.,0.)); +#24301=DIRECTION('ref_axis',(0.,1.,6.93889390390723E-16)); +#24302=DIRECTION('center_axis',(0.978467064337926,0.145949524568936,0.145948416517694)); +#24303=DIRECTION('ref_axis',(0.206403012372569,-0.691959110441249,-0.691802273746541)); +#24304=DIRECTION('center_axis',(-0.978467241911895,0.145948368738066,0.145948381861623)); +#24305=DIRECTION('ref_axis',(0.20640217060481,0.691806125697647,0.691955510431729)); +#24306=DIRECTION('center_axis',(1.,0.,0.)); +#24307=DIRECTION('ref_axis',(0.,1.,6.93889390390723E-16)); +#24308=DIRECTION('center_axis',(-1.,0.,0.)); +#24309=DIRECTION('ref_axis',(0.,-6.32657050433355E-16,1.)); +#24310=DIRECTION('center_axis',(0.,-1.,1.07788643167491E-16)); +#24311=DIRECTION('ref_axis',(0.,1.07788643167491E-16,1.)); +#24312=DIRECTION('center_axis',(1.09921505959131E-15,-1.,-1.16226082683141E-31)); +#24313=DIRECTION('ref_axis',(1.27757460402614E-46,-1.16226082683141E-31, +1.)); +#24314=DIRECTION('center_axis',(2.79888157468527E-16,0.,1.)); +#24315=DIRECTION('ref_axis',(1.38777878078145E-15,1.,-3.88422845926839E-31)); +#24316=DIRECTION('center_axis',(2.79888157468527E-16,-3.23685704939042E-33, +1.)); +#24317=DIRECTION('ref_axis',(1.38777878078145E-15,1.,-3.85185988877448E-31)); +#24318=DIRECTION('center_axis',(1.,0.,0.)); +#24319=DIRECTION('ref_axis',(0.,1.,6.93889390390723E-16)); +#24320=DIRECTION('center_axis',(-1.,-2.82534752406804E-15,1.05735526154777E-16)); +#24321=DIRECTION('ref_axis',(1.05735526154777E-16,2.9873960702743E-31,1.)); +#24322=DIRECTION('center_axis',(0.,0.,-1.)); +#24323=DIRECTION('ref_axis',(0.,1.,0.)); +#24324=DIRECTION('center_axis',(0.,0.,1.)); +#24325=DIRECTION('ref_axis',(1.,6.12323399573677E-17,0.)); +#24326=DIRECTION('center_axis',(1.07788643167491E-16,-1.,0.)); +#24327=DIRECTION('ref_axis',(1.,1.07788643167491E-16,0.)); +#24328=DIRECTION('center_axis',(-1.07788643167491E-16,1.,0.)); +#24329=DIRECTION('ref_axis',(6.12323399573677E-17,6.60015084197521E-33, +1.)); +#24330=DIRECTION('center_axis',(0.,0.,1.)); +#24331=DIRECTION('ref_axis',(1.38777878078145E-15,1.,0.)); +#24332=DIRECTION('center_axis',(0.,0.,1.)); +#24333=DIRECTION('ref_axis',(1.,0.,0.)); +#24334=DIRECTION('axis',(0.,0.,1.)); +#24335=DIRECTION('refdir',(1.,0.,0.)); +#24336=DIRECTION('center_axis',(0.996194698091746,-0.0871557427476584,0.)); +#24337=DIRECTION('ref_axis',(0.0871557427476584,0.996194698091746,0.)); +#24338=DIRECTION('',(0.,0.,-1.)); +#24339=DIRECTION('',(0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24340=DIRECTION('',(0.,0.,-1.)); +#24341=DIRECTION('',(-0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24342=DIRECTION('center_axis',(0.,-0.0871557427476584,0.996194698091746)); +#24343=DIRECTION('ref_axis',(0.,-0.996194698091746,-0.0871557427476584)); +#24344=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24345=DIRECTION('',(-1.,0.,0.)); +#24346=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24347=DIRECTION('',(1.,0.,0.)); +#24348=DIRECTION('',(0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24349=DIRECTION('',(1.,0.,0.)); +#24350=DIRECTION('',(0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24351=DIRECTION('',(1.,0.,0.)); +#24352=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24353=DIRECTION('',(-1.,0.,0.)); +#24354=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24355=DIRECTION('',(1.,0.,0.)); +#24356=DIRECTION('center_axis',(-0.996194698091746,-0.0871557427476584, +0.)); +#24357=DIRECTION('ref_axis',(0.0871557427476584,-0.996194698091746,0.)); +#24358=DIRECTION('',(0.,0.,1.)); +#24359=DIRECTION('',(-0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24360=DIRECTION('',(0.,0.,1.)); +#24361=DIRECTION('',(0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24362=DIRECTION('center_axis',(0.,-0.0871557427476584,-0.996194698091746)); +#24363=DIRECTION('ref_axis',(0.,0.996194698091746,-0.0871557427476584)); +#24364=DIRECTION('',(-4.54769034058784E-16,0.996194698091746,-0.0871557427476584)); +#24365=DIRECTION('',(1.,4.56506177888633E-16,0.)); +#24366=DIRECTION('',(-4.54769034058784E-16,0.996194698091746,-0.0871557427476584)); +#24367=DIRECTION('',(-1.,0.,0.)); +#24368=DIRECTION('',(-0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24369=DIRECTION('',(-1.,0.,0.)); +#24370=DIRECTION('',(-0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24371=DIRECTION('',(-1.,0.,0.)); +#24372=DIRECTION('center_axis',(0.,-1.,0.)); +#24373=DIRECTION('ref_axis',(0.,0.,-1.)); +#24374=DIRECTION('',(0.,0.,-1.)); +#24375=DIRECTION('',(1.,0.,0.)); +#24376=DIRECTION('',(0.,0.,1.)); +#24377=DIRECTION('',(-1.,0.,0.)); +#24378=DIRECTION('center_axis',(0.996194698091746,0.0871557427476584,0.)); +#24379=DIRECTION('ref_axis',(-0.0871557427476584,0.996194698091746,0.)); +#24380=DIRECTION('',(-0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24381=DIRECTION('',(0.,0.,1.)); +#24382=DIRECTION('',(0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24383=DIRECTION('center_axis',(0.,0.0871557427476584,-0.996194698091746)); +#24384=DIRECTION('ref_axis',(0.,0.996194698091746,0.0871557427476584)); +#24385=DIRECTION('',(1.,4.56506177888633E-16,0.)); +#24386=DIRECTION('',(4.54769034058784E-16,-0.996194698091746,-0.0871557427476584)); +#24387=DIRECTION('',(0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24388=DIRECTION('',(1.,0.,0.)); +#24389=DIRECTION('',(0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24390=DIRECTION('',(4.54769034058784E-16,-0.996194698091746,-0.0871557427476584)); +#24391=DIRECTION('center_axis',(-0.996194698091746,0.0871557427476584,0.)); +#24392=DIRECTION('ref_axis',(-0.0871557427476584,-0.996194698091746,0.)); +#24393=DIRECTION('',(0.,0.,-1.)); +#24394=DIRECTION('',(-0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24395=DIRECTION('',(0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24396=DIRECTION('center_axis',(0.,0.0871557427476584,0.996194698091746)); +#24397=DIRECTION('ref_axis',(0.,-0.996194698091746,0.0871557427476584)); +#24398=DIRECTION('',(-1.,0.,0.)); +#24399=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24400=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24401=DIRECTION('',(-1.,0.,0.)); +#24402=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24403=DIRECTION('',(-0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24404=DIRECTION('',(-1.,0.,0.)); +#24405=DIRECTION('',(-0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24406=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24407=DIRECTION('center_axis',(0.,-1.,0.)); +#24408=DIRECTION('ref_axis',(0.,0.,-1.)); +#24409=DIRECTION('',(0.,0.,1.)); +#24410=DIRECTION('',(1.,0.,0.)); +#24411=DIRECTION('',(0.,0.,-1.)); +#24412=DIRECTION('',(-1.,0.,0.)); +#24413=DIRECTION('center_axis',(0.,0.0697564737441261,-0.997564050259824)); +#24414=DIRECTION('ref_axis',(0.,0.997564050259824,0.0697564737441261)); +#24415=DIRECTION('',(0.,-0.997564050259824,-0.0697564737441261)); +#24416=DIRECTION('',(-1.,0.,0.)); +#24417=DIRECTION('',(0.,-0.997564050259824,-0.0697564737441261)); +#24418=DIRECTION('',(-1.,0.,0.)); +#24419=DIRECTION('center_axis',(0.,0.997564050259824,0.0697564737441256)); +#24420=DIRECTION('ref_axis',(0.,-0.0697564737441256,0.997564050259824)); +#24421=DIRECTION('',(0.,0.0697564737441256,-0.997564050259824)); +#24422=DIRECTION('',(1.,0.,0.)); +#24423=DIRECTION('',(0.,0.0697564737441256,-0.997564050259824)); +#24424=DIRECTION('center_axis',(0.,0.112977756883918,0.993597517332586)); +#24425=DIRECTION('ref_axis',(0.,-0.993597517332586,0.112977756883918)); +#24426=DIRECTION('',(0.,0.993597517332586,-0.112977756883918)); +#24427=DIRECTION('',(1.,0.,0.)); +#24428=DIRECTION('',(0.,0.993597517332586,-0.112977756883918)); +#24429=DIRECTION('',(-1.,0.,0.)); +#24430=DIRECTION('center_axis',(0.,-1.,0.)); +#24431=DIRECTION('ref_axis',(0.,0.,-1.)); +#24432=DIRECTION('',(0.,0.,1.)); +#24433=DIRECTION('',(1.,0.,0.)); +#24434=DIRECTION('',(0.,0.,1.)); +#24435=DIRECTION('center_axis',(0.,-0.112977756883917,-0.993597517332586)); +#24436=DIRECTION('ref_axis',(0.,0.993597517332586,-0.112977756883917)); +#24437=DIRECTION('',(0.,-0.993597517332586,0.112977756883917)); +#24438=DIRECTION('',(1.,0.,0.)); +#24439=DIRECTION('',(0.,-0.993597517332586,0.112977756883917)); +#24440=DIRECTION('',(-1.,0.,0.)); +#24441=DIRECTION('center_axis',(0.,-0.997564050259824,-0.0697564737441257)); +#24442=DIRECTION('ref_axis',(0.,0.0697564737441257,-0.997564050259824)); +#24443=DIRECTION('',(0.,-0.0697564737441257,0.997564050259824)); +#24444=DIRECTION('',(-1.,0.,0.)); +#24445=DIRECTION('',(0.,-0.0697564737441257,0.997564050259824)); +#24446=DIRECTION('center_axis',(1.,0.,0.)); +#24447=DIRECTION('ref_axis',(0.,0.,-1.)); +#24448=DIRECTION('center_axis',(1.,0.,0.)); +#24449=DIRECTION('ref_axis',(0.,0.,-1.)); +#24450=DIRECTION('center_axis',(-1.,0.,0.)); +#24451=DIRECTION('ref_axis',(0.,1.54886024640786E-15,-1.)); +#24452=DIRECTION('center_axis',(1.,0.,0.)); +#24453=DIRECTION('ref_axis',(0.,0.,-1.)); +#24454=DIRECTION('center_axis',(-1.,0.,0.)); +#24455=DIRECTION('ref_axis',(0.,0.,-1.)); +#24456=DIRECTION('center_axis',(1.,0.,0.)); +#24457=DIRECTION('ref_axis',(0.,0.,-1.)); +#24458=DIRECTION('center_axis',(-1.,0.,0.)); +#24459=DIRECTION('ref_axis',(0.,0.,-1.)); +#24460=DIRECTION('center_axis',(1.,0.,0.)); +#24461=DIRECTION('ref_axis',(0.,0.,-1.)); +#24462=DIRECTION('center_axis',(-1.,0.,0.)); +#24463=DIRECTION('ref_axis',(0.,0.,-1.)); +#24464=DIRECTION('center_axis',(1.,0.,0.)); +#24465=DIRECTION('ref_axis',(0.,0.,-1.)); +#24466=DIRECTION('center_axis',(-1.,0.,0.)); +#24467=DIRECTION('ref_axis',(0.,0.,1.)); +#24468=DIRECTION('center_axis',(1.,0.,0.)); +#24469=DIRECTION('ref_axis',(0.,0.,-1.)); +#24470=DIRECTION('center_axis',(-1.,0.,0.)); +#24471=DIRECTION('ref_axis',(0.,0.,1.)); +#24472=DIRECTION('center_axis',(0.,1.,0.)); +#24473=DIRECTION('ref_axis',(1.,0.,0.)); +#24474=DIRECTION('center_axis',(0.,0.,-1.)); +#24475=DIRECTION('ref_axis',(1.,0.,0.)); +#24476=DIRECTION('center_axis',(0.0868265938642477,-0.992432509138967,0.0868265938642477)); +#24477=DIRECTION('ref_axis',(2.15192447194923E-15,0.0871557427476584,0.996194698091746)); +#24478=DIRECTION('center_axis',(0.,1.,0.)); +#24479=DIRECTION('ref_axis',(1.,0.,0.)); +#24480=DIRECTION('center_axis',(-0.0868265938642477,-0.992432509138967, +0.0868265938642477)); +#24481=DIRECTION('ref_axis',(1.73472347597681E-18,0.0871557427476582,0.996194698091746)); +#24482=DIRECTION('center_axis',(0.,0.,-1.)); +#24483=DIRECTION('ref_axis',(1.,0.,0.)); +#24484=DIRECTION('center_axis',(0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24485=DIRECTION('ref_axis',(1.30104260698261E-17,-0.0871557427476584,-0.996194698091746)); +#24486=DIRECTION('center_axis',(9.73881748969818E-16,-1.,9.73881748969818E-16)); +#24487=DIRECTION('ref_axis',(-0.707106781186539,-1.3771486253136E-15,-0.707106781186556)); +#24488=DIRECTION('center_axis',(0.,0.,1.)); +#24489=DIRECTION('ref_axis',(1.,0.,0.)); +#24490=DIRECTION('center_axis',(2.17668729729708E-15,0.,-1.)); +#24491=DIRECTION('ref_axis',(-1.,0.,-2.17668729729708E-15)); +#24492=DIRECTION('center_axis',(1.,0.,0.)); +#24493=DIRECTION('ref_axis',(0.,0.,-1.)); +#24494=DIRECTION('center_axis',(-1.,0.,-2.17668729729708E-15)); +#24495=DIRECTION('ref_axis',(-2.17668729729708E-15,0.,1.)); +#24496=DIRECTION('center_axis',(1.,4.33680868994202E-15,3.79421596250641E-16)); +#24497=DIRECTION('ref_axis',(-4.33680868994202E-15,1.,0.)); +#24498=DIRECTION('center_axis',(0.,0.,-1.)); +#24499=DIRECTION('ref_axis',(-1.,0.,0.)); +#24500=DIRECTION('center_axis',(3.79421596250641E-16,4.33680868994202E-15, +-1.)); +#24501=DIRECTION('ref_axis',(0.,1.,4.33680868994202E-15)); +#24502=DIRECTION('center_axis',(-0.0868265938642478,-0.992432509138967, +0.0868265938642478)); +#24503=DIRECTION('ref_axis',(-1.30104260698261E-17,-0.0871557427476584, +-0.996194698091746)); +#24504=DIRECTION('center_axis',(-2.92164524690945E-15,-1.,2.92164524690945E-15)); +#24505=DIRECTION('ref_axis',(0.707106781186548,-4.12380858207919E-15,-0.707106781186547)); +#24506=DIRECTION('center_axis',(0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24507=DIRECTION('ref_axis',(-1.30104260698261E-17,-0.0871557427476584, +0.996194698091746)); +#24508=DIRECTION('center_axis',(-0.0868265938642477,-0.992432509138967, +-0.0868265938642468)); +#24509=DIRECTION('ref_axis',(0.996194698091746,-0.0871557427476582,-1.73472347597681E-18)); +#24510=DIRECTION('center_axis',(0.,1.,0.)); +#24511=DIRECTION('ref_axis',(1.,0.,0.)); +#24512=DIRECTION('center_axis',(0.0868265938642477,-0.992432509138967,-0.0868265938642471)); +#24513=DIRECTION('ref_axis',(-0.996194698091746,-0.0871557427476582,-8.67361737988404E-19)); +#24514=DIRECTION('center_axis',(0.,1.,0.)); +#24515=DIRECTION('ref_axis',(1.,0.,0.)); +#24516=DIRECTION('center_axis',(-0.0868265938642471,-0.992432509138967, +-0.0868265938642477)); +#24517=DIRECTION('ref_axis',(8.67361737988403E-18,-0.0871557427476582,0.996194698091746)); +#24518=DIRECTION('center_axis',(-0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24519=DIRECTION('ref_axis',(1.30104260698261E-17,-0.0871557427476584,0.996194698091746)); +#24520=DIRECTION('center_axis',(0.0868265938642477,-0.992432509138967,-0.0868265938642477)); +#24521=DIRECTION('ref_axis',(0.996194698091746,0.0871557427476583,7.80625564189564E-18)); +#24522=DIRECTION('center_axis',(1.,0.,0.)); +#24523=DIRECTION('ref_axis',(0.,0.,-1.)); +#24524=DIRECTION('center_axis',(1.,-6.50521303491303E-15,-5.69132394375962E-16)); +#24525=DIRECTION('ref_axis',(6.50521303491303E-15,1.,-2.80259692864963E-45)); +#24526=DIRECTION('center_axis',(-1.,0.,0.)); +#24527=DIRECTION('ref_axis',(0.,0.,1.)); +#24528=DIRECTION('center_axis',(0.,1.,0.)); +#24529=DIRECTION('ref_axis',(1.,0.,0.)); +#24530=DIRECTION('center_axis',(-2.17668729729708E-15,0.,-1.)); +#24531=DIRECTION('ref_axis',(1.,0.,-2.17668729729708E-15)); +#24532=DIRECTION('center_axis',(0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24533=DIRECTION('ref_axis',(-1.30104260698261E-17,0.0871557427476584,-0.996194698091746)); +#24534=DIRECTION('center_axis',(-1.94776349793963E-15,-1.,1.94776349793963E-15)); +#24535=DIRECTION('ref_axis',(0.707106781186548,-2.77709354190897E-15,-0.707106781186547)); +#24536=DIRECTION('center_axis',(-0.0868265938642478,-0.992432509138967, +-0.0868265938642478)); +#24537=DIRECTION('ref_axis',(1.30104260698261E-17,0.0871557427476584,-0.996194698091746)); +#24538=DIRECTION('center_axis',(2.92164524690945E-15,-1.,2.92164524690945E-15)); +#24539=DIRECTION('ref_axis',(-0.707106781186539,-4.11622908336909E-15,-0.707106781186556)); +#24540=DIRECTION('center_axis',(0.,1.,0.)); +#24541=DIRECTION('ref_axis',(1.,0.,0.)); +#24542=DIRECTION('center_axis',(0.,0.,-1.)); +#24543=DIRECTION('ref_axis',(1.,0.,0.)); +#24544=DIRECTION('center_axis',(0.,0.,-1.)); +#24545=DIRECTION('ref_axis',(-1.,0.,0.)); +#24546=DIRECTION('center_axis',(-5.69132394375962E-16,-6.50521303491303E-15, +-1.)); +#24547=DIRECTION('ref_axis',(-4.20389539297445E-45,1.,-6.50521303491303E-15)); +#24548=DIRECTION('center_axis',(0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24549=DIRECTION('ref_axis',(1.30104260698261E-17,0.0871557427476584,0.996194698091746)); +#24550=DIRECTION('center_axis',(-0.0868265938642471,-0.992432509138967, +0.0868265938642477)); +#24551=DIRECTION('ref_axis',(-1.73472347597681E-18,-0.0871557427476582, +-0.996194698091746)); +#24552=DIRECTION('center_axis',(-0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24553=DIRECTION('ref_axis',(-1.30104260698261E-17,0.0871557427476584,0.996194698091746)); +#24554=DIRECTION('center_axis',(0.0868265938642477,-0.992432509138967,0.0868265938642475)); +#24555=DIRECTION('ref_axis',(0.996194698091746,0.0871557427476582,-3.46944695195362E-18)); +#24556=DIRECTION('center_axis',(0.,0.,1.)); +#24557=DIRECTION('ref_axis',(1.,0.,0.)); +#24558=DIRECTION('center_axis',(0.,0.,-1.)); +#24559=DIRECTION('ref_axis',(-1.,0.,0.)); +#24560=DIRECTION('center_axis',(0.,1.,0.)); +#24561=DIRECTION('ref_axis',(1.,0.,0.)); +#24562=DIRECTION('center_axis',(-1.,0.,0.)); +#24563=DIRECTION('ref_axis',(0.,0.,-1.)); +#24564=DIRECTION('center_axis',(0.,1.,0.)); +#24565=DIRECTION('ref_axis',(1.,0.,0.)); +#24566=DIRECTION('center_axis',(1.,2.16840434497101E-15,-1.89710798125321E-16)); +#24567=DIRECTION('ref_axis',(2.16840434497101E-15,-1.,-4.37905770101505E-46)); +#24568=DIRECTION('center_axis',(-1.,0.,0.)); +#24569=DIRECTION('ref_axis',(0.,0.,1.)); +#24570=DIRECTION('center_axis',(1.,0.,0.)); +#24571=DIRECTION('ref_axis',(0.,0.,-1.)); +#24572=DIRECTION('center_axis',(-1.,0.,0.)); +#24573=DIRECTION('ref_axis',(0.,0.,1.)); +#24574=DIRECTION('center_axis',(1.,0.,0.)); +#24575=DIRECTION('ref_axis',(0.,0.,-1.)); +#24576=DIRECTION('center_axis',(-1.,0.,0.)); +#24577=DIRECTION('ref_axis',(0.,0.,1.)); +#24578=DIRECTION('center_axis',(0.,0.0697564737441261,-0.997564050259824)); +#24579=DIRECTION('ref_axis',(0.,0.997564050259824,0.0697564737441261)); +#24580=DIRECTION('',(0.,-0.997564050259824,-0.0697564737441261)); +#24581=DIRECTION('',(-1.,0.,0.)); +#24582=DIRECTION('',(0.,-0.997564050259824,-0.0697564737441261)); +#24583=DIRECTION('',(-1.,0.,0.)); +#24584=DIRECTION('center_axis',(0.,0.997564050259824,0.0697564737441256)); +#24585=DIRECTION('ref_axis',(0.,-0.0697564737441256,0.997564050259824)); +#24586=DIRECTION('',(0.,0.0697564737441256,-0.997564050259824)); +#24587=DIRECTION('',(1.,0.,0.)); +#24588=DIRECTION('',(0.,0.0697564737441256,-0.997564050259824)); +#24589=DIRECTION('center_axis',(0.,0.112977756883918,0.993597517332586)); +#24590=DIRECTION('ref_axis',(0.,-0.993597517332586,0.112977756883918)); +#24591=DIRECTION('',(0.,0.993597517332586,-0.112977756883918)); +#24592=DIRECTION('',(1.,0.,0.)); +#24593=DIRECTION('',(0.,0.993597517332586,-0.112977756883918)); +#24594=DIRECTION('',(-1.,0.,0.)); +#24595=DIRECTION('center_axis',(0.,-1.,0.)); +#24596=DIRECTION('ref_axis',(0.,0.,-1.)); +#24597=DIRECTION('',(0.,0.,1.)); +#24598=DIRECTION('',(1.,0.,0.)); +#24599=DIRECTION('',(0.,0.,1.)); +#24600=DIRECTION('center_axis',(0.,-0.112977756883917,-0.993597517332586)); +#24601=DIRECTION('ref_axis',(0.,0.993597517332586,-0.112977756883917)); +#24602=DIRECTION('',(0.,-0.993597517332586,0.112977756883917)); +#24603=DIRECTION('',(1.,0.,0.)); +#24604=DIRECTION('',(0.,-0.993597517332586,0.112977756883917)); +#24605=DIRECTION('',(-1.,0.,0.)); +#24606=DIRECTION('center_axis',(0.,-0.997564050259824,-0.0697564737441257)); +#24607=DIRECTION('ref_axis',(0.,0.0697564737441257,-0.997564050259824)); +#24608=DIRECTION('',(0.,-0.0697564737441257,0.997564050259824)); +#24609=DIRECTION('',(-1.,0.,0.)); +#24610=DIRECTION('',(0.,-0.0697564737441257,0.997564050259824)); +#24611=DIRECTION('center_axis',(1.,0.,0.)); +#24612=DIRECTION('ref_axis',(0.,0.,-1.)); +#24613=DIRECTION('center_axis',(1.,0.,0.)); +#24614=DIRECTION('ref_axis',(0.,0.,-1.)); +#24615=DIRECTION('center_axis',(-1.,0.,0.)); +#24616=DIRECTION('ref_axis',(0.,1.54886024640786E-15,-1.)); +#24617=DIRECTION('center_axis',(1.,0.,0.)); +#24618=DIRECTION('ref_axis',(0.,0.,-1.)); +#24619=DIRECTION('center_axis',(-1.,0.,0.)); +#24620=DIRECTION('ref_axis',(0.,0.,-1.)); +#24621=DIRECTION('center_axis',(1.,0.,0.)); +#24622=DIRECTION('ref_axis',(0.,0.,-1.)); +#24623=DIRECTION('center_axis',(-1.,0.,0.)); +#24624=DIRECTION('ref_axis',(0.,0.,-1.)); +#24625=DIRECTION('center_axis',(1.,0.,0.)); +#24626=DIRECTION('ref_axis',(0.,0.,-1.)); +#24627=DIRECTION('center_axis',(-1.,0.,0.)); +#24628=DIRECTION('ref_axis',(0.,0.,-1.)); +#24629=DIRECTION('center_axis',(1.,0.,0.)); +#24630=DIRECTION('ref_axis',(0.,0.,-1.)); +#24631=DIRECTION('center_axis',(1.,0.,0.)); +#24632=DIRECTION('ref_axis',(0.,0.,-1.)); +#24633=DIRECTION('center_axis',(-1.,0.,0.)); +#24634=DIRECTION('ref_axis',(0.,0.,1.)); +#24635=DIRECTION('center_axis',(1.,0.,0.)); +#24636=DIRECTION('ref_axis',(0.,0.,-1.)); +#24637=DIRECTION('center_axis',(-1.,0.,0.)); +#24638=DIRECTION('ref_axis',(0.,0.,1.)); +#24639=DIRECTION('center_axis',(-3.18442612119198E-17,0.0697564737441261, +0.997564050259824)); +#24640=DIRECTION('ref_axis',(-3.85185988877447E-34,-0.997564050259824,0.0697564737441261)); +#24641=DIRECTION('',(4.55394151783217E-16,-0.997564050259824,0.0697564737441261)); +#24642=DIRECTION('',(1.,4.56506177888633E-16,0.)); +#24643=DIRECTION('',(4.55394151783217E-16,-0.997564050259824,0.0697564737441261)); +#24644=DIRECTION('',(1.,4.56506177888633E-16,0.)); +#24645=DIRECTION('center_axis',(-4.55394151783217E-16,0.997564050259824, +-0.0697564737441256)); +#24646=DIRECTION('ref_axis',(0.,0.0697564737441256,0.997564050259824)); +#24647=DIRECTION('',(-3.18442612119196E-17,0.0697564737441256,0.997564050259824)); +#24648=DIRECTION('',(-1.,-4.56506177888633E-16,3.3470638872515E-35)); +#24649=DIRECTION('',(-3.18442612119196E-17,0.0697564737441256,0.997564050259824)); +#24650=DIRECTION('center_axis',(-5.15750439815088E-17,0.112977756883918, +-0.993597517332586)); +#24651=DIRECTION('ref_axis',(0.,0.993597517332586,0.112977756883918)); +#24652=DIRECTION('',(-4.53583404997134E-16,0.993597517332586,0.112977756883918)); +#24653=DIRECTION('',(-1.,-4.52022835704454E-16,5.09781812530534E-19)); +#24654=DIRECTION('',(-4.53583404997134E-16,0.993597517332586,0.112977756883918)); +#24655=DIRECTION('',(1.,4.56506177888633E-16,-1.31962812873649E-32)); +#24656=DIRECTION('center_axis',(4.56506177888633E-16,-1.,0.)); +#24657=DIRECTION('ref_axis',(1.,4.56506177888633E-16,0.)); +#24658=DIRECTION('',(0.,0.,-1.)); +#24659=DIRECTION('',(-1.,-4.56506177888633E-16,-7.91709510267233E-35)); +#24660=DIRECTION('',(0.,0.,-1.)); +#24661=DIRECTION('center_axis',(5.15750439815084E-17,-0.112977756883917, +0.993597517332586)); +#24662=DIRECTION('ref_axis',(-7.70371977754894E-34,-0.993597517332586,-0.112977756883917)); +#24663=DIRECTION('',(4.53583404997134E-16,-0.993597517332586,-0.112977756883917)); +#24664=DIRECTION('',(-1.,-4.56506177888633E-16,-7.76599943988808E-35)); +#24665=DIRECTION('',(4.53583404997134E-16,-0.993597517332586,-0.112977756883917)); +#24666=DIRECTION('',(1.,4.56506177888633E-16,7.7659994398881E-35)); +#24667=DIRECTION('center_axis',(4.55394151783217E-16,-0.997564050259824, +0.0697564737441257)); +#24668=DIRECTION('ref_axis',(0.,-0.0697564737441257,-0.997564050259824)); +#24669=DIRECTION('',(3.18442612119197E-17,-0.0697564737441257,-0.997564050259824)); +#24670=DIRECTION('',(1.,4.56506177888634E-16,-6.90836228176696E-33)); +#24671=DIRECTION('',(3.18442612119197E-17,-0.0697564737441257,-0.997564050259824)); +#24672=DIRECTION('center_axis',(-1.,-4.56506177888633E-16,0.)); +#24673=DIRECTION('ref_axis',(0.,0.,1.)); +#24674=DIRECTION('center_axis',(-1.,-4.56506177888633E-16,0.)); +#24675=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,0.)); +#24676=DIRECTION('center_axis',(1.,4.56506177888633E-16,0.)); +#24677=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,1.54886024640786E-15)); +#24678=DIRECTION('center_axis',(-1.,-4.56506177888633E-16,0.)); +#24679=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,0.)); +#24680=DIRECTION('center_axis',(1.,4.56506177888633E-16,0.)); +#24681=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,3.09772049281573E-15)); +#24682=DIRECTION('center_axis',(-1.,-4.56506177888633E-16,0.)); +#24683=DIRECTION('ref_axis',(0.,0.,1.)); +#24684=DIRECTION('center_axis',(1.,4.56506177888633E-16,0.)); +#24685=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,1.54886024640786E-15)); +#24686=DIRECTION('center_axis',(-1.,-4.56506177888633E-16,0.)); +#24687=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,0.)); +#24688=DIRECTION('center_axis',(1.,4.56506177888633E-16,0.)); +#24689=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,0.)); +#24690=DIRECTION('center_axis',(-1.,-4.56506177888633E-16,0.)); +#24691=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,0.)); +#24692=DIRECTION('center_axis',(-1.,-4.56506177888633E-16,3.99391153948043E-17)); +#24693=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,-2.76742588920802E-33)); +#24694=DIRECTION('center_axis',(1.,4.56506177888633E-16,6.99536837577728E-33)); +#24695=DIRECTION('ref_axis',(-4.56506177888633E-16,1.,-4.8471688359406E-49)); +#24696=DIRECTION('center_axis',(-1.,-4.56506177888633E-16,-2.48510874496565E-34)); +#24697=DIRECTION('ref_axis',(4.56506177888633E-16,-1.,1.7219595903243E-50)); +#24698=DIRECTION('center_axis',(1.,4.56506177888633E-16,7.91709510267232E-35)); +#24699=DIRECTION('ref_axis',(-4.56506177888633E-16,1.,-5.48584357411873E-51)); +#24700=DIRECTION('axis',(0.,0.,1.)); +#24701=DIRECTION('refdir',(1.,0.,0.)); +#24702=DIRECTION('center_axis',(1.,0.,0.)); +#24703=DIRECTION('ref_axis',(0.,0.,-1.)); +#24704=DIRECTION('',(0.,0.,1.)); +#24705=DIRECTION('',(0.,1.,0.)); +#24706=DIRECTION('',(0.,0.,-1.)); +#24707=DIRECTION('',(0.,-1.,0.)); +#24708=DIRECTION('center_axis',(1.,0.,0.)); +#24709=DIRECTION('ref_axis',(0.,0.,-1.)); +#24710=DIRECTION('',(0.,0.,1.)); +#24711=DIRECTION('',(0.,1.,0.)); +#24712=DIRECTION('',(0.,0.,-1.)); +#24713=DIRECTION('',(0.,-1.,0.)); +#24714=DIRECTION('center_axis',(0.,-1.,0.)); +#24715=DIRECTION('ref_axis',(0.,0.,-1.)); +#24716=DIRECTION('',(-1.,0.,0.)); +#24717=DIRECTION('',(0.,0.,1.)); +#24718=DIRECTION('',(1.,0.,0.)); +#24719=DIRECTION('center_axis',(0.,1.,0.)); +#24720=DIRECTION('ref_axis',(0.,0.,1.)); +#24721=DIRECTION('',(-1.,0.,0.)); +#24722=DIRECTION('',(0.,0.,1.)); +#24723=DIRECTION('',(1.,0.,0.)); +#24724=DIRECTION('center_axis',(0.,0.,-1.)); +#24725=DIRECTION('ref_axis',(-1.,0.,0.)); +#24726=DIRECTION('',(1.,0.,0.)); +#24727=DIRECTION('',(0.,-1.,0.)); +#24728=DIRECTION('center_axis',(-1.,0.,0.)); +#24729=DIRECTION('ref_axis',(0.,0.,1.)); +#24730=DIRECTION('',(0.,1.,0.)); +#24731=DIRECTION('',(0.,0.,1.)); +#24732=DIRECTION('',(0.,-1.,0.)); +#24733=DIRECTION('',(0.,0.,-1.)); +#24734=DIRECTION('center_axis',(0.,-1.,0.)); +#24735=DIRECTION('ref_axis',(0.,0.,-1.)); +#24736=DIRECTION('',(-1.,0.,0.)); +#24737=DIRECTION('',(0.,0.,1.)); +#24738=DIRECTION('center_axis',(-1.,0.,0.)); +#24739=DIRECTION('ref_axis',(0.,0.,1.)); +#24740=DIRECTION('',(0.,1.,0.)); +#24741=DIRECTION('',(0.,0.,1.)); +#24742=DIRECTION('',(0.,-1.,0.)); +#24743=DIRECTION('center_axis',(0.,1.,0.)); +#24744=DIRECTION('ref_axis',(0.,0.,1.)); +#24745=DIRECTION('',(1.,0.,0.)); +#24746=DIRECTION('',(0.,0.,-1.)); +#24747=DIRECTION('',(-1.,0.,0.)); +#24748=DIRECTION('',(0.,0.,1.)); +#24749=DIRECTION('',(-1.,0.,0.)); +#24750=DIRECTION('',(0.,0.,-1.)); +#24751=DIRECTION('',(1.,0.,0.)); +#24752=DIRECTION('center_axis',(-1.,0.,0.)); +#24753=DIRECTION('ref_axis',(0.,0.,1.)); +#24754=DIRECTION('',(0.,1.,0.)); +#24755=DIRECTION('center_axis',(1.,0.,0.)); +#24756=DIRECTION('ref_axis',(0.,0.,1.)); +#24757=DIRECTION('',(0.,-1.,0.)); +#24758=DIRECTION('',(0.,0.,1.)); +#24759=DIRECTION('',(0.,-1.,0.)); +#24760=DIRECTION('center_axis',(0.,0.,-1.)); +#24761=DIRECTION('ref_axis',(1.,0.,0.)); +#24762=DIRECTION('',(0.,-1.,0.)); +#24763=DIRECTION('',(1.,0.,0.)); +#24764=DIRECTION('center_axis',(-1.,0.,0.)); +#24765=DIRECTION('ref_axis',(0.,0.,-1.)); +#24766=DIRECTION('',(0.,-1.,0.)); +#24767=DIRECTION('',(0.,0.,-1.)); +#24768=DIRECTION('center_axis',(0.,0.,1.)); +#24769=DIRECTION('ref_axis',(-1.,0.,0.)); +#24770=DIRECTION('',(-1.,0.,0.)); +#24771=DIRECTION('center_axis',(0.,-1.,0.)); +#24772=DIRECTION('ref_axis',(0.,0.,-1.)); +#24773=DIRECTION('center_axis',(0.,1.,0.)); +#24774=DIRECTION('ref_axis',(0.,0.,1.)); +#24775=DIRECTION('',(-1.,0.,0.)); +#24776=DIRECTION('',(1.,0.,0.)); +#24777=DIRECTION('center_axis',(0.,0.,-1.)); +#24778=DIRECTION('ref_axis',(-1.,0.,0.)); +#24779=DIRECTION('',(-1.,0.,0.)); +#24780=DIRECTION('',(0.,-1.,0.)); +#24781=DIRECTION('center_axis',(0.,0.,1.)); +#24782=DIRECTION('ref_axis',(1.,0.,0.)); +#24783=DIRECTION('',(0.,1.,0.)); +#24784=DIRECTION('',(1.,0.,0.)); +#24785=DIRECTION('center_axis',(0.,0.,1.)); +#24786=DIRECTION('ref_axis',(1.,0.,0.)); +#24787=DIRECTION('center_axis',(1.,0.,0.)); +#24788=DIRECTION('ref_axis',(0.,0.,-1.)); +#24789=DIRECTION('',(0.,0.,1.)); +#24790=DIRECTION('center_axis',(0.,1.,0.)); +#24791=DIRECTION('ref_axis',(1.,0.,0.)); +#24792=DIRECTION('axis',(0.,0.,1.)); +#24793=DIRECTION('refdir',(1.,0.,0.)); +#24794=DIRECTION('center_axis',(-0.996194698091746,-0.0871557427476584, +0.)); +#24795=DIRECTION('ref_axis',(0.0871557427476584,-0.996194698091746,0.)); +#24796=DIRECTION('',(0.,0.,1.)); +#24797=DIRECTION('',(0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24798=DIRECTION('',(0.,0.,1.)); +#24799=DIRECTION('',(-0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24800=DIRECTION('center_axis',(-9.81887687172374E-17,-0.0871557427476584, +-0.996194698091746)); +#24801=DIRECTION('ref_axis',(0.,0.996194698091746,-0.0871557427476584)); +#24802=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24803=DIRECTION('',(-1.,0.,9.85638338623186E-17)); +#24804=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24805=DIRECTION('',(-1.,0.,0.)); +#24806=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24807=DIRECTION('',(-1.,0.,9.85638338623186E-17)); +#24808=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24809=DIRECTION('',(-1.,0.,0.)); +#24810=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24811=DIRECTION('',(-1.,0.,9.85638338623186E-17)); +#24812=DIRECTION('',(-0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24813=DIRECTION('',(-1.,4.6060348898439E-34,9.85638338623186E-17)); +#24814=DIRECTION('',(-0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24815=DIRECTION('',(-1.,0.,9.85638338623186E-17)); +#24816=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24817=DIRECTION('',(-1.,0.,0.)); +#24818=DIRECTION('center_axis',(0.996194698091746,-0.0871557427476584,0.)); +#24819=DIRECTION('ref_axis',(0.0871557427476584,0.996194698091746,0.)); +#24820=DIRECTION('',(0.,0.,-1.)); +#24821=DIRECTION('',(0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24822=DIRECTION('',(0.,0.,-1.)); +#24823=DIRECTION('',(-0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24824=DIRECTION('center_axis',(9.81887687172374E-17,-0.0871557427476584, +0.996194698091746)); +#24825=DIRECTION('ref_axis',(0.,-0.996194698091746,-0.0871557427476584)); +#24826=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24827=DIRECTION('',(-1.,0.,0.)); +#24828=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24829=DIRECTION('',(1.,0.,-9.85638338623186E-17)); +#24830=DIRECTION('',(0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24831=DIRECTION('',(1.,4.6060348898439E-34,-9.85638338623186E-17)); +#24832=DIRECTION('',(0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24833=DIRECTION('',(1.,0.,-9.85638338623186E-17)); +#24834=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24835=DIRECTION('',(-1.,0.,0.)); +#24836=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24837=DIRECTION('',(1.,0.,-9.85638338623186E-17)); +#24838=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24839=DIRECTION('',(-1.,0.,0.)); +#24840=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24841=DIRECTION('',(1.,0.,-9.85638338623186E-17)); +#24842=DIRECTION('center_axis',(0.,1.,0.)); +#24843=DIRECTION('ref_axis',(0.,0.,1.)); +#24844=DIRECTION('',(0.,0.,1.)); +#24845=DIRECTION('',(-1.,0.,9.85638338623186E-17)); +#24846=DIRECTION('',(0.,0.,-1.)); +#24847=DIRECTION('',(1.,0.,-9.85638338623186E-17)); +#24848=DIRECTION('center_axis',(-0.996194698091746,0.0871557427476584,0.)); +#24849=DIRECTION('ref_axis',(-0.0871557427476584,-0.996194698091746,0.)); +#24850=DIRECTION('',(0.,0.,-1.)); +#24851=DIRECTION('',(-0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24852=DIRECTION('',(0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24853=DIRECTION('center_axis',(9.81887687172374E-17,0.0871557427476584, +0.996194698091746)); +#24854=DIRECTION('ref_axis',(0.,-0.996194698091746,0.0871557427476584)); +#24855=DIRECTION('',(-1.,0.,0.)); +#24856=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24857=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24858=DIRECTION('',(-1.,0.,0.)); +#24859=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24860=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24861=DIRECTION('',(-1.,0.,0.)); +#24862=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24863=DIRECTION('',(-0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24864=DIRECTION('',(-1.,4.6060348898439E-34,9.85638338623186E-17)); +#24865=DIRECTION('',(-0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24866=DIRECTION('',(0.,-0.996194698091746,0.0871557427476584)); +#24867=DIRECTION('center_axis',(0.996194698091746,0.0871557427476584,0.)); +#24868=DIRECTION('ref_axis',(-0.0871557427476584,0.996194698091746,0.)); +#24869=DIRECTION('',(-0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24870=DIRECTION('',(0.,0.,1.)); +#24871=DIRECTION('',(0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24872=DIRECTION('center_axis',(-9.81887687172374E-17,0.0871557427476584, +-0.996194698091746)); +#24873=DIRECTION('ref_axis',(0.,0.996194698091746,0.0871557427476584)); +#24874=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24875=DIRECTION('',(-1.,0.,0.)); +#24876=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24877=DIRECTION('',(0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24878=DIRECTION('',(1.,4.6060348898439E-34,-9.85638338623186E-17)); +#24879=DIRECTION('',(0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24880=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24881=DIRECTION('',(-1.,0.,0.)); +#24882=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24883=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24884=DIRECTION('',(-1.,0.,0.)); +#24885=DIRECTION('',(0.,0.996194698091746,0.0871557427476584)); +#24886=DIRECTION('center_axis',(0.,1.,0.)); +#24887=DIRECTION('ref_axis',(0.,0.,1.)); +#24888=DIRECTION('',(0.,0.,-1.)); +#24889=DIRECTION('',(-1.,0.,9.85638338623186E-17)); +#24890=DIRECTION('',(0.,0.,1.)); +#24891=DIRECTION('',(1.,0.,-9.85638338623186E-17)); +#24892=DIRECTION('center_axis',(-1.,0.,9.85638338623186E-17)); +#24893=DIRECTION('ref_axis',(9.85638338623186E-17,0.,1.)); +#24894=DIRECTION('center_axis',(-1.,0.,0.)); +#24895=DIRECTION('ref_axis',(0.,0.,1.)); +#24896=DIRECTION('center_axis',(1.,0.,0.)); +#24897=DIRECTION('ref_axis',(0.,0.,-1.)); +#24898=DIRECTION('center_axis',(0.,1.,0.)); +#24899=DIRECTION('ref_axis',(1.,0.,0.)); +#24900=DIRECTION('center_axis',(1.89710798125325E-16,2.16840434497101E-15, +1.)); +#24901=DIRECTION('ref_axis',(0.,1.,-2.16840434497101E-15)); +#24902=DIRECTION('center_axis',(-0.0868265938642541,-0.992432509138966, +-0.0868265938642497)); +#24903=DIRECTION('ref_axis',(-0.996194698091745,0.0871557427476647,1.73472347597681E-18)); +#24904=DIRECTION('center_axis',(0.,1.,0.)); +#24905=DIRECTION('ref_axis',(1.,0.,0.)); +#24906=DIRECTION('center_axis',(0.0868265938642498,-0.992432509138967,-0.0868265938642455)); +#24907=DIRECTION('ref_axis',(-0.996194698091745,-0.0871557427476604,1.73472347597681E-17)); +#24908=DIRECTION('center_axis',(-2.17668729729708E-15,0.,1.)); +#24909=DIRECTION('ref_axis',(-1.,0.,-2.17668729729708E-15)); +#24910=DIRECTION('center_axis',(-0.0868265938642478,-0.992432509138967, +-0.0868265938642478)); +#24911=DIRECTION('ref_axis',(0.996194698091746,-0.0871557427476584,-1.30104260698261E-17)); +#24912=DIRECTION('center_axis',(7.42603770754013E-18,-1.,-1.95518953564718E-15)); +#24913=DIRECTION('ref_axis',(0.707106781186556,-1.37952656038861E-15,0.707106781186539)); +#24914=DIRECTION('center_axis',(0.,0.,1.)); +#24915=DIRECTION('ref_axis',(1.,0.,0.)); +#24916=DIRECTION('center_axis',(-2.17668729729708E-15,0.,1.)); +#24917=DIRECTION('ref_axis',(1.,0.,2.17668729729708E-15)); +#24918=DIRECTION('center_axis',(1.,0.,-9.85638338623186E-17)); +#24919=DIRECTION('ref_axis',(-9.85638338623186E-17,0.,-1.)); +#24920=DIRECTION('center_axis',(1.,0.,0.)); +#24921=DIRECTION('ref_axis',(0.,0.,-1.)); +#24922=DIRECTION('center_axis',(-1.,-4.33680868994201E-15,3.79421596250649E-16)); +#24923=DIRECTION('ref_axis',(4.33680868994201E-15,-1.,2.80259692864963E-45)); +#24924=DIRECTION('center_axis',(0.,0.,-1.)); +#24925=DIRECTION('ref_axis',(-1.,0.,0.)); +#24926=DIRECTION('center_axis',(1.89710798125325E-16,-2.16840434497101E-15, +1.)); +#24927=DIRECTION('ref_axis',(-9.63392694223312E-46,-1.,-2.16840434497101E-15)); +#24928=DIRECTION('center_axis',(0.0868265938642478,-0.992432509138967,-0.0868265938642478)); +#24929=DIRECTION('ref_axis',(-1.47451495458029E-17,0.0871557427476584,-0.996194698091746)); +#24930=DIRECTION('center_axis',(5.8432904938189E-15,-1.,-5.8432904938189E-15)); +#24931=DIRECTION('ref_axis',(0.707106781186548,8.27805074930177E-15,-0.707106781186547)); +#24932=DIRECTION('center_axis',(-0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24933=DIRECTION('ref_axis',(-0.996194698091746,-0.0871557427476584,1.30104260698261E-17)); +#24934=DIRECTION('center_axis',(0.0868265938642477,-0.992432509138967,0.086826593864248)); +#24935=DIRECTION('ref_axis',(9.54097911787244E-18,-0.0871557427476586,-0.996194698091746)); +#24936=DIRECTION('center_axis',(0.,1.,0.)); +#24937=DIRECTION('ref_axis',(1.,0.,0.)); +#24938=DIRECTION('center_axis',(-0.0868265938642498,-0.992432509138967, +0.0868265938642459)); +#24939=DIRECTION('ref_axis',(-0.996194698091745,0.0871557427476604,0.)); +#24940=DIRECTION('center_axis',(0.,1.,0.)); +#24941=DIRECTION('ref_axis',(1.,0.,0.)); +#24942=DIRECTION('center_axis',(0.0868265938642541,-0.992432509138966,0.0868265938642497)); +#24943=DIRECTION('ref_axis',(-0.996194698091745,-0.0871557427476647,-1.47451495458029E-17)); +#24944=DIRECTION('center_axis',(0.0868265938642478,0.992432509138967,-0.0868265938642478)); +#24945=DIRECTION('ref_axis',(1.47451495458029E-17,0.0871557427476584,0.996194698091746)); +#24946=DIRECTION('center_axis',(-0.0868265938642477,-0.992432509138967, +0.0868265938642477)); +#24947=DIRECTION('ref_axis',(-1.73472347597681E-18,-0.0871557427476582, +-0.996194698091746)); +#24948=DIRECTION('center_axis',(1.,0.,-9.85638338623186E-17)); +#24949=DIRECTION('ref_axis',(-9.85638338623186E-17,0.,-1.)); +#24950=DIRECTION('center_axis',(-1.,4.33680868994202E-15,-3.79421596250641E-16)); +#24951=DIRECTION('ref_axis',(-4.33680868994202E-15,-1.,0.)); +#24952=DIRECTION('center_axis',(1.,0.,0.)); +#24953=DIRECTION('ref_axis',(0.,0.,-1.)); +#24954=DIRECTION('center_axis',(0.,1.,0.)); +#24955=DIRECTION('ref_axis',(1.,0.,0.)); +#24956=DIRECTION('center_axis',(0.,0.,1.)); +#24957=DIRECTION('ref_axis',(-1.,0.,0.)); +#24958=DIRECTION('center_axis',(-0.0868265938642478,-0.992432509138967, +0.0868265938642478)); +#24959=DIRECTION('ref_axis',(-1.47451495458029E-17,-0.0871557427476584, +-0.996194698091746)); +#24960=DIRECTION('center_axis',(-2.92164524690946E-15,-1.,2.92164524690946E-15)); +#24961=DIRECTION('ref_axis',(0.707106781186548,-4.12380858207919E-15,-0.707106781186547)); +#24962=DIRECTION('center_axis',(0.0868265938642478,-0.992432509138967,0.0868265938642478)); +#24963=DIRECTION('ref_axis',(0.996194698091746,0.0871557427476584,-1.30104260698261E-17)); +#24964=DIRECTION('center_axis',(0.,-1.,0.)); +#24965=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); +#24966=DIRECTION('center_axis',(0.,1.,0.)); +#24967=DIRECTION('ref_axis',(1.,0.,0.)); +#24968=DIRECTION('center_axis',(0.,0.,1.)); +#24969=DIRECTION('ref_axis',(-1.,0.,0.)); +#24970=DIRECTION('center_axis',(0.,0.,-1.)); +#24971=DIRECTION('ref_axis',(-1.,0.,0.)); +#24972=DIRECTION('center_axis',(0.,0.,1.)); +#24973=DIRECTION('ref_axis',(1.,0.,0.)); +#24974=DIRECTION('center_axis',(-0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24975=DIRECTION('ref_axis',(1.47451495458029E-17,-0.0871557427476584,0.996194698091746)); +#24976=DIRECTION('center_axis',(0.0868265938642477,-0.992432509138967,-0.0868265938642477)); +#24977=DIRECTION('ref_axis',(-1.73472347597681E-18,0.0871557427476582,-0.996194698091746)); +#24978=DIRECTION('center_axis',(0.0868265938642478,0.992432509138967,0.0868265938642478)); +#24979=DIRECTION('ref_axis',(-0.996194698091746,0.0871557427476584,1.30104260698261E-17)); +#24980=DIRECTION('center_axis',(-0.0868265938642477,-0.992432509138967, +-0.0868265938642477)); +#24981=DIRECTION('ref_axis',(1.73472347597681E-18,0.0871557427476582,-0.996194698091746)); +#24982=DIRECTION('center_axis',(0.,0.,1.)); +#24983=DIRECTION('ref_axis',(1.,0.,0.)); +#24984=DIRECTION('center_axis',(0.,0.,1.)); +#24985=DIRECTION('ref_axis',(1.,0.,0.)); +#24986=DIRECTION('center_axis',(0.,1.,0.)); +#24987=DIRECTION('ref_axis',(1.,0.,0.)); +#24988=DIRECTION('center_axis',(1.,0.,0.)); +#24989=DIRECTION('ref_axis',(0.,0.,1.)); +#24990=DIRECTION('center_axis',(0.,1.,0.)); +#24991=DIRECTION('ref_axis',(1.,0.,0.)); +#24992=DIRECTION('center_axis',(-1.,0.,0.)); +#24993=DIRECTION('ref_axis',(0.,0.,-1.)); +#24994=DIRECTION('center_axis',(-1.,0.,9.85638338623186E-17)); +#24995=DIRECTION('ref_axis',(9.85638338623186E-17,0.,1.)); +#24996=DIRECTION('center_axis',(0.,0.0697564737441178,-0.997564050259825)); +#24997=DIRECTION('ref_axis',(0.,0.997564050259825,0.0697564737441178)); +#24998=DIRECTION('',(0.,-0.997564050259825,-0.0697564737441178)); +#24999=DIRECTION('',(-1.,0.,0.)); +#25000=DIRECTION('',(0.,-0.997564050259825,-0.0697564737441178)); +#25001=DIRECTION('',(-1.,0.,0.)); +#25002=DIRECTION('center_axis',(0.,0.997564050259825,0.0697564737441186)); +#25003=DIRECTION('ref_axis',(0.,-0.0697564737441186,0.997564050259825)); +#25004=DIRECTION('',(0.,0.0697564737441186,-0.997564050259825)); +#25005=DIRECTION('',(1.,0.,0.)); +#25006=DIRECTION('',(0.,0.0697564737441186,-0.997564050259825)); +#25007=DIRECTION('center_axis',(0.,0.114866146527831,0.993380978467902)); +#25008=DIRECTION('ref_axis',(0.,-0.993380978467902,0.114866146527831)); +#25009=DIRECTION('',(0.,0.993380978467902,-0.114866146527831)); +#25010=DIRECTION('',(-1.,0.,0.)); +#25011=DIRECTION('',(0.,0.993380978467902,-0.114866146527831)); +#25012=DIRECTION('',(1.,0.,0.)); +#25013=DIRECTION('center_axis',(0.,1.,0.)); +#25014=DIRECTION('ref_axis',(0.,0.,1.)); +#25015=DIRECTION('',(0.,0.,-1.)); +#25016=DIRECTION('',(-1.,0.,0.)); +#25017=DIRECTION('',(0.,0.,-1.)); +#25018=DIRECTION('center_axis',(0.,-1.,0.)); +#25019=DIRECTION('ref_axis',(0.,0.,-1.)); +#25020=DIRECTION('',(0.,0.,1.)); +#25021=DIRECTION('',(1.,0.,0.)); +#25022=DIRECTION('',(0.,0.,1.)); +#25023=DIRECTION('center_axis',(0.,-0.11486614652783,-0.993380978467902)); +#25024=DIRECTION('ref_axis',(0.,0.993380978467902,-0.11486614652783)); +#25025=DIRECTION('',(0.,-0.993380978467902,0.11486614652783)); +#25026=DIRECTION('',(1.,0.,0.)); +#25027=DIRECTION('',(0.,-0.993380978467902,0.11486614652783)); +#25028=DIRECTION('',(-1.,0.,0.)); +#25029=DIRECTION('center_axis',(0.,-0.997564050259825,-0.0697564737441185)); +#25030=DIRECTION('ref_axis',(0.,0.0697564737441185,-0.997564050259825)); +#25031=DIRECTION('',(0.,-0.0697564737441185,0.997564050259825)); +#25032=DIRECTION('',(-1.,0.,0.)); +#25033=DIRECTION('',(0.,-0.0697564737441185,0.997564050259825)); +#25034=DIRECTION('center_axis',(1.,0.,0.)); +#25035=DIRECTION('ref_axis',(0.,0.,-1.)); +#25036=DIRECTION('center_axis',(1.,0.,0.)); +#25037=DIRECTION('ref_axis',(0.,0.,-1.)); +#25038=DIRECTION('center_axis',(-1.,0.,0.)); +#25039=DIRECTION('ref_axis',(0.,0.,-1.)); +#25040=DIRECTION('center_axis',(1.,0.,0.)); +#25041=DIRECTION('ref_axis',(0.,0.,-1.)); +#25042=DIRECTION('center_axis',(-1.,0.,0.)); +#25043=DIRECTION('ref_axis',(0.,0.,-1.)); +#25044=DIRECTION('center_axis',(1.,0.,0.)); +#25045=DIRECTION('ref_axis',(0.,0.,-1.)); +#25046=DIRECTION('center_axis',(-1.,0.,0.)); +#25047=DIRECTION('ref_axis',(0.,0.,-1.)); +#25048=DIRECTION('center_axis',(1.,0.,0.)); +#25049=DIRECTION('ref_axis',(0.,0.,-1.)); +#25050=DIRECTION('center_axis',(-1.,0.,0.)); +#25051=DIRECTION('ref_axis',(0.,0.,-1.)); +#25052=DIRECTION('center_axis',(1.,0.,0.)); +#25053=DIRECTION('ref_axis',(0.,0.,-1.)); +#25054=DIRECTION('center_axis',(1.,0.,0.)); +#25055=DIRECTION('ref_axis',(0.,0.,-1.)); +#25056=DIRECTION('center_axis',(-1.,0.,0.)); +#25057=DIRECTION('ref_axis',(0.,0.,1.)); +#25058=DIRECTION('center_axis',(1.,0.,0.)); +#25059=DIRECTION('ref_axis',(0.,0.,-1.)); +#25060=DIRECTION('center_axis',(-1.,0.,0.)); +#25061=DIRECTION('ref_axis',(0.,0.,1.)); +#25062=DIRECTION('center_axis',(0.,0.0697564737441178,-0.997564050259825)); +#25063=DIRECTION('ref_axis',(0.,0.997564050259825,0.0697564737441178)); +#25064=DIRECTION('',(0.,-0.997564050259825,-0.0697564737441178)); +#25065=DIRECTION('',(-1.,0.,0.)); +#25066=DIRECTION('',(0.,-0.997564050259825,-0.0697564737441178)); +#25067=DIRECTION('',(-1.,0.,0.)); +#25068=DIRECTION('center_axis',(0.,0.997564050259825,0.0697564737441186)); +#25069=DIRECTION('ref_axis',(0.,-0.0697564737441186,0.997564050259825)); +#25070=DIRECTION('',(0.,0.0697564737441186,-0.997564050259825)); +#25071=DIRECTION('',(1.,0.,0.)); +#25072=DIRECTION('',(0.,0.0697564737441186,-0.997564050259825)); +#25073=DIRECTION('center_axis',(0.,0.114866146527831,0.993380978467902)); +#25074=DIRECTION('ref_axis',(0.,-0.993380978467902,0.114866146527831)); +#25075=DIRECTION('',(0.,0.993380978467902,-0.114866146527831)); +#25076=DIRECTION('',(-1.,0.,0.)); +#25077=DIRECTION('',(0.,0.993380978467902,-0.114866146527831)); +#25078=DIRECTION('',(1.,0.,0.)); +#25079=DIRECTION('center_axis',(0.,1.,0.)); +#25080=DIRECTION('ref_axis',(0.,0.,1.)); +#25081=DIRECTION('',(0.,0.,-1.)); +#25082=DIRECTION('',(-1.,0.,0.)); +#25083=DIRECTION('',(0.,0.,-1.)); +#25084=DIRECTION('center_axis',(0.,-1.,0.)); +#25085=DIRECTION('ref_axis',(0.,0.,-1.)); +#25086=DIRECTION('',(0.,0.,1.)); +#25087=DIRECTION('',(1.,0.,0.)); +#25088=DIRECTION('',(0.,0.,1.)); +#25089=DIRECTION('center_axis',(0.,-0.11486614652783,-0.993380978467902)); +#25090=DIRECTION('ref_axis',(0.,0.993380978467902,-0.11486614652783)); +#25091=DIRECTION('',(0.,-0.993380978467902,0.11486614652783)); +#25092=DIRECTION('',(1.,0.,0.)); +#25093=DIRECTION('',(0.,-0.993380978467902,0.11486614652783)); +#25094=DIRECTION('',(-1.,0.,0.)); +#25095=DIRECTION('center_axis',(0.,-0.997564050259825,-0.0697564737441185)); +#25096=DIRECTION('ref_axis',(0.,0.0697564737441185,-0.997564050259825)); +#25097=DIRECTION('',(0.,-0.0697564737441185,0.997564050259825)); +#25098=DIRECTION('',(-1.,0.,0.)); +#25099=DIRECTION('',(0.,-0.0697564737441185,0.997564050259825)); +#25100=DIRECTION('center_axis',(1.,0.,0.)); +#25101=DIRECTION('ref_axis',(0.,0.,-1.)); +#25102=DIRECTION('center_axis',(1.,0.,0.)); +#25103=DIRECTION('ref_axis',(0.,0.,-1.)); +#25104=DIRECTION('center_axis',(-1.,0.,0.)); +#25105=DIRECTION('ref_axis',(0.,0.,-1.)); +#25106=DIRECTION('center_axis',(1.,0.,0.)); +#25107=DIRECTION('ref_axis',(0.,0.,-1.)); +#25108=DIRECTION('center_axis',(-1.,0.,0.)); +#25109=DIRECTION('ref_axis',(0.,0.,-1.)); +#25110=DIRECTION('center_axis',(1.,0.,0.)); +#25111=DIRECTION('ref_axis',(0.,0.,-1.)); +#25112=DIRECTION('center_axis',(-1.,0.,0.)); +#25113=DIRECTION('ref_axis',(0.,0.,-1.)); +#25114=DIRECTION('center_axis',(1.,0.,0.)); +#25115=DIRECTION('ref_axis',(0.,0.,-1.)); +#25116=DIRECTION('center_axis',(-1.,0.,0.)); +#25117=DIRECTION('ref_axis',(0.,0.,-1.)); +#25118=DIRECTION('center_axis',(1.,0.,0.)); +#25119=DIRECTION('ref_axis',(0.,0.,-1.)); +#25120=DIRECTION('center_axis',(0.,0.0697564737441178,-0.997564050259825)); +#25121=DIRECTION('ref_axis',(0.,0.997564050259825,0.0697564737441178)); +#25122=DIRECTION('',(0.,-0.997564050259825,-0.0697564737441178)); +#25123=DIRECTION('',(-1.,0.,0.)); +#25124=DIRECTION('',(0.,-0.997564050259825,-0.0697564737441178)); +#25125=DIRECTION('',(-1.,0.,0.)); +#25126=DIRECTION('center_axis',(0.,0.997564050259825,0.0697564737441186)); +#25127=DIRECTION('ref_axis',(0.,-0.0697564737441186,0.997564050259825)); +#25128=DIRECTION('',(0.,0.0697564737441186,-0.997564050259825)); +#25129=DIRECTION('',(1.,0.,0.)); +#25130=DIRECTION('',(0.,0.0697564737441186,-0.997564050259825)); +#25131=DIRECTION('center_axis',(0.,0.114866146527831,0.993380978467902)); +#25132=DIRECTION('ref_axis',(0.,-0.993380978467902,0.114866146527831)); +#25133=DIRECTION('',(0.,0.993380978467902,-0.114866146527831)); +#25134=DIRECTION('',(-1.,0.,0.)); +#25135=DIRECTION('',(0.,0.993380978467902,-0.114866146527831)); +#25136=DIRECTION('',(1.,0.,0.)); +#25137=DIRECTION('center_axis',(0.,1.,0.)); +#25138=DIRECTION('ref_axis',(0.,0.,1.)); +#25139=DIRECTION('',(0.,0.,-1.)); +#25140=DIRECTION('',(-1.,0.,0.)); +#25141=DIRECTION('',(0.,0.,-1.)); +#25142=DIRECTION('center_axis',(0.,-1.,0.)); +#25143=DIRECTION('ref_axis',(0.,0.,-1.)); +#25144=DIRECTION('',(0.,0.,1.)); +#25145=DIRECTION('',(1.,0.,0.)); +#25146=DIRECTION('',(0.,0.,1.)); +#25147=DIRECTION('center_axis',(0.,-0.11486614652783,-0.993380978467902)); +#25148=DIRECTION('ref_axis',(0.,0.993380978467902,-0.11486614652783)); +#25149=DIRECTION('',(0.,-0.993380978467902,0.11486614652783)); +#25150=DIRECTION('',(1.,0.,0.)); +#25151=DIRECTION('',(0.,-0.993380978467902,0.11486614652783)); +#25152=DIRECTION('',(-1.,0.,0.)); +#25153=DIRECTION('center_axis',(0.,-0.997564050259825,-0.0697564737441185)); +#25154=DIRECTION('ref_axis',(0.,0.0697564737441185,-0.997564050259825)); +#25155=DIRECTION('',(0.,-0.0697564737441185,0.997564050259825)); +#25156=DIRECTION('',(-1.,0.,0.)); +#25157=DIRECTION('',(0.,-0.0697564737441185,0.997564050259825)); +#25158=DIRECTION('center_axis',(1.,0.,0.)); +#25159=DIRECTION('ref_axis',(0.,0.,-1.)); +#25160=DIRECTION('center_axis',(1.,0.,0.)); +#25161=DIRECTION('ref_axis',(0.,0.,-1.)); +#25162=DIRECTION('center_axis',(-1.,0.,0.)); +#25163=DIRECTION('ref_axis',(0.,0.,-1.)); +#25164=DIRECTION('center_axis',(1.,0.,0.)); +#25165=DIRECTION('ref_axis',(0.,0.,-1.)); +#25166=DIRECTION('center_axis',(-1.,0.,0.)); +#25167=DIRECTION('ref_axis',(0.,0.,-1.)); +#25168=DIRECTION('center_axis',(1.,0.,0.)); +#25169=DIRECTION('ref_axis',(0.,0.,-1.)); +#25170=DIRECTION('center_axis',(-1.,0.,0.)); +#25171=DIRECTION('ref_axis',(0.,0.,-1.)); +#25172=DIRECTION('center_axis',(1.,0.,0.)); +#25173=DIRECTION('ref_axis',(0.,0.,-1.)); +#25174=DIRECTION('center_axis',(-1.,0.,0.)); +#25175=DIRECTION('ref_axis',(0.,0.,-1.)); +#25176=DIRECTION('center_axis',(1.,0.,0.)); +#25177=DIRECTION('ref_axis',(0.,0.,-1.)); +#25178=DIRECTION('center_axis',(1.,0.,0.)); +#25179=DIRECTION('ref_axis',(0.,0.,-1.)); +#25180=DIRECTION('center_axis',(-1.,0.,0.)); +#25181=DIRECTION('ref_axis',(0.,0.,1.)); +#25182=DIRECTION('center_axis',(1.,0.,0.)); +#25183=DIRECTION('ref_axis',(0.,0.,-1.)); +#25184=DIRECTION('center_axis',(-1.,0.,0.)); +#25185=DIRECTION('ref_axis',(0.,0.,1.)); +#25186=DIRECTION('center_axis',(1.,0.,0.)); +#25187=DIRECTION('ref_axis',(0.,0.,-1.)); +#25188=DIRECTION('center_axis',(-1.,0.,0.)); +#25189=DIRECTION('ref_axis',(0.,0.,1.)); +#25190=DIRECTION('center_axis',(1.,0.,0.)); +#25191=DIRECTION('ref_axis',(0.,0.,-1.)); +#25192=DIRECTION('center_axis',(-1.,0.,0.)); +#25193=DIRECTION('ref_axis',(0.,0.,1.)); +#25194=DIRECTION('center_axis',(0.,-0.0697564737441178,-0.997564050259825)); +#25195=DIRECTION('ref_axis',(0.,0.997564050259825,-0.0697564737441178)); +#25196=DIRECTION('',(0.,-0.997564050259825,0.0697564737441178)); +#25197=DIRECTION('',(-1.,0.,0.)); +#25198=DIRECTION('',(0.,-0.997564050259825,0.0697564737441178)); +#25199=DIRECTION('',(-1.,0.,0.)); +#25200=DIRECTION('center_axis',(0.,0.997564050259825,-0.0697564737441185)); +#25201=DIRECTION('ref_axis',(0.,0.0697564737441185,0.997564050259825)); +#25202=DIRECTION('',(0.,-0.0697564737441185,-0.997564050259825)); +#25203=DIRECTION('',(1.,0.,0.)); +#25204=DIRECTION('',(0.,-0.0697564737441185,-0.997564050259825)); +#25205=DIRECTION('center_axis',(0.,0.11486614652783,-0.993380978467902)); +#25206=DIRECTION('ref_axis',(0.,0.993380978467902,0.11486614652783)); +#25207=DIRECTION('',(0.,-0.993380978467902,-0.11486614652783)); +#25208=DIRECTION('',(-1.,0.,0.)); +#25209=DIRECTION('',(0.,-0.993380978467902,-0.11486614652783)); +#25210=DIRECTION('',(1.,0.,0.)); +#25211=DIRECTION('center_axis',(0.,1.,0.)); +#25212=DIRECTION('ref_axis',(0.,0.,1.)); +#25213=DIRECTION('',(0.,0.,-1.)); +#25214=DIRECTION('',(-1.,0.,0.)); +#25215=DIRECTION('',(0.,0.,-1.)); +#25216=DIRECTION('center_axis',(0.,-1.,0.)); +#25217=DIRECTION('ref_axis',(0.,0.,-1.)); +#25218=DIRECTION('',(0.,0.,1.)); +#25219=DIRECTION('',(1.,0.,0.)); +#25220=DIRECTION('',(0.,0.,1.)); +#25221=DIRECTION('center_axis',(0.,-0.114866146527831,0.993380978467902)); +#25222=DIRECTION('ref_axis',(0.,-0.993380978467902,-0.114866146527831)); +#25223=DIRECTION('',(0.,0.993380978467902,0.114866146527831)); +#25224=DIRECTION('',(1.,0.,0.)); +#25225=DIRECTION('',(0.,0.993380978467902,0.114866146527831)); +#25226=DIRECTION('',(-1.,0.,0.)); +#25227=DIRECTION('center_axis',(0.,-0.997564050259825,0.0697564737441186)); +#25228=DIRECTION('ref_axis',(0.,-0.0697564737441186,-0.997564050259825)); +#25229=DIRECTION('',(0.,0.0697564737441186,0.997564050259825)); +#25230=DIRECTION('',(-1.,0.,0.)); +#25231=DIRECTION('',(0.,0.0697564737441186,0.997564050259825)); +#25232=DIRECTION('center_axis',(1.,0.,0.)); +#25233=DIRECTION('ref_axis',(0.,0.,1.)); +#25234=DIRECTION('center_axis',(1.,0.,0.)); +#25235=DIRECTION('ref_axis',(0.,0.,-1.)); +#25236=DIRECTION('center_axis',(-1.,0.,0.)); +#25237=DIRECTION('ref_axis',(0.,-1.0842021724855E-15,-1.)); +#25238=DIRECTION('center_axis',(1.,0.,0.)); +#25239=DIRECTION('ref_axis',(0.,0.,-1.)); +#25240=DIRECTION('center_axis',(-1.,0.,0.)); +#25241=DIRECTION('ref_axis',(0.,-1.0842021724855E-15,-1.)); +#25242=DIRECTION('center_axis',(1.,0.,0.)); +#25243=DIRECTION('ref_axis',(0.,0.,1.)); +#25244=DIRECTION('center_axis',(-1.,0.,0.)); +#25245=DIRECTION('ref_axis',(0.,-8.13151629364128E-16,-1.)); +#25246=DIRECTION('center_axis',(1.,0.,0.)); +#25247=DIRECTION('ref_axis',(0.,0.,-1.)); +#25248=DIRECTION('center_axis',(-1.,0.,0.)); +#25249=DIRECTION('ref_axis',(0.,-2.16840434497101E-15,-1.)); +#25250=DIRECTION('center_axis',(1.,0.,0.)); +#25251=DIRECTION('ref_axis',(0.,0.,-1.)); +#25252=DIRECTION('center_axis',(1.,0.,0.)); +#25253=DIRECTION('ref_axis',(0.,0.,-1.)); +#25254=DIRECTION('center_axis',(-1.,0.,0.)); +#25255=DIRECTION('ref_axis',(0.,0.,1.)); +#25256=DIRECTION('center_axis',(1.,0.,0.)); +#25257=DIRECTION('ref_axis',(0.,0.,-1.)); +#25258=DIRECTION('center_axis',(-1.,0.,0.)); +#25259=DIRECTION('ref_axis',(0.,0.,1.)); +#25260=DIRECTION('center_axis',(0.,-0.0697564737441178,-0.997564050259825)); +#25261=DIRECTION('ref_axis',(0.,0.997564050259825,-0.0697564737441178)); +#25262=DIRECTION('',(0.,-0.997564050259825,0.0697564737441178)); +#25263=DIRECTION('',(-1.,0.,0.)); +#25264=DIRECTION('',(0.,-0.997564050259825,0.0697564737441178)); +#25265=DIRECTION('',(-1.,0.,0.)); +#25266=DIRECTION('center_axis',(0.,0.997564050259825,-0.0697564737441185)); +#25267=DIRECTION('ref_axis',(0.,0.0697564737441185,0.997564050259825)); +#25268=DIRECTION('',(0.,-0.0697564737441185,-0.997564050259825)); +#25269=DIRECTION('',(1.,0.,0.)); +#25270=DIRECTION('',(0.,-0.0697564737441185,-0.997564050259825)); +#25271=DIRECTION('center_axis',(0.,0.11486614652783,-0.993380978467902)); +#25272=DIRECTION('ref_axis',(0.,0.993380978467902,0.11486614652783)); +#25273=DIRECTION('',(0.,-0.993380978467902,-0.11486614652783)); +#25274=DIRECTION('',(-1.,0.,0.)); +#25275=DIRECTION('',(0.,-0.993380978467902,-0.11486614652783)); +#25276=DIRECTION('',(1.,0.,0.)); +#25277=DIRECTION('center_axis',(0.,1.,0.)); +#25278=DIRECTION('ref_axis',(0.,0.,1.)); +#25279=DIRECTION('',(0.,0.,-1.)); +#25280=DIRECTION('',(-1.,0.,0.)); +#25281=DIRECTION('',(0.,0.,-1.)); +#25282=DIRECTION('center_axis',(0.,-1.,0.)); +#25283=DIRECTION('ref_axis',(0.,0.,-1.)); +#25284=DIRECTION('',(0.,0.,1.)); +#25285=DIRECTION('',(1.,0.,0.)); +#25286=DIRECTION('',(0.,0.,1.)); +#25287=DIRECTION('center_axis',(0.,-0.114866146527831,0.993380978467902)); +#25288=DIRECTION('ref_axis',(0.,-0.993380978467902,-0.114866146527831)); +#25289=DIRECTION('',(0.,0.993380978467902,0.114866146527831)); +#25290=DIRECTION('',(1.,0.,0.)); +#25291=DIRECTION('',(0.,0.993380978467902,0.114866146527831)); +#25292=DIRECTION('',(-1.,0.,0.)); +#25293=DIRECTION('center_axis',(0.,-0.997564050259825,0.0697564737441186)); +#25294=DIRECTION('ref_axis',(0.,-0.0697564737441186,-0.997564050259825)); +#25295=DIRECTION('',(0.,0.0697564737441186,0.997564050259825)); +#25296=DIRECTION('',(-1.,0.,0.)); +#25297=DIRECTION('',(0.,0.0697564737441186,0.997564050259825)); +#25298=DIRECTION('center_axis',(1.,0.,0.)); +#25299=DIRECTION('ref_axis',(0.,0.,1.)); +#25300=DIRECTION('center_axis',(1.,0.,0.)); +#25301=DIRECTION('ref_axis',(0.,0.,-1.)); +#25302=DIRECTION('center_axis',(-1.,0.,0.)); +#25303=DIRECTION('ref_axis',(0.,-1.0842021724855E-15,-1.)); +#25304=DIRECTION('center_axis',(1.,0.,0.)); +#25305=DIRECTION('ref_axis',(0.,0.,-1.)); +#25306=DIRECTION('center_axis',(-1.,0.,0.)); +#25307=DIRECTION('ref_axis',(0.,-1.0842021724855E-15,-1.)); +#25308=DIRECTION('center_axis',(1.,0.,0.)); +#25309=DIRECTION('ref_axis',(0.,0.,1.)); +#25310=DIRECTION('center_axis',(-1.,0.,0.)); +#25311=DIRECTION('ref_axis',(0.,-8.13151629364128E-16,-1.)); +#25312=DIRECTION('center_axis',(1.,0.,0.)); +#25313=DIRECTION('ref_axis',(0.,0.,-1.)); +#25314=DIRECTION('center_axis',(-1.,0.,0.)); +#25315=DIRECTION('ref_axis',(0.,-2.16840434497101E-15,-1.)); +#25316=DIRECTION('center_axis',(1.,0.,0.)); +#25317=DIRECTION('ref_axis',(0.,0.,-1.)); +#25318=DIRECTION('center_axis',(0.,-0.0697564737441178,-0.997564050259825)); +#25319=DIRECTION('ref_axis',(0.,0.997564050259825,-0.0697564737441178)); +#25320=DIRECTION('',(0.,-0.997564050259825,0.0697564737441178)); +#25321=DIRECTION('',(-1.,0.,0.)); +#25322=DIRECTION('',(0.,-0.997564050259825,0.0697564737441178)); +#25323=DIRECTION('',(-1.,0.,0.)); +#25324=DIRECTION('center_axis',(0.,0.997564050259825,-0.0697564737441185)); +#25325=DIRECTION('ref_axis',(0.,0.0697564737441185,0.997564050259825)); +#25326=DIRECTION('',(0.,-0.0697564737441185,-0.997564050259825)); +#25327=DIRECTION('',(1.,0.,0.)); +#25328=DIRECTION('',(0.,-0.0697564737441185,-0.997564050259825)); +#25329=DIRECTION('center_axis',(0.,0.11486614652783,-0.993380978467902)); +#25330=DIRECTION('ref_axis',(0.,0.993380978467902,0.11486614652783)); +#25331=DIRECTION('',(0.,-0.993380978467902,-0.11486614652783)); +#25332=DIRECTION('',(-1.,0.,0.)); +#25333=DIRECTION('',(0.,-0.993380978467902,-0.11486614652783)); +#25334=DIRECTION('',(1.,0.,0.)); +#25335=DIRECTION('center_axis',(0.,1.,0.)); +#25336=DIRECTION('ref_axis',(0.,0.,1.)); +#25337=DIRECTION('',(0.,0.,-1.)); +#25338=DIRECTION('',(-1.,0.,0.)); +#25339=DIRECTION('',(0.,0.,-1.)); +#25340=DIRECTION('center_axis',(0.,-1.,0.)); +#25341=DIRECTION('ref_axis',(0.,0.,-1.)); +#25342=DIRECTION('',(0.,0.,1.)); +#25343=DIRECTION('',(1.,0.,0.)); +#25344=DIRECTION('',(0.,0.,1.)); +#25345=DIRECTION('center_axis',(0.,-0.114866146527831,0.993380978467902)); +#25346=DIRECTION('ref_axis',(0.,-0.993380978467902,-0.114866146527831)); +#25347=DIRECTION('',(0.,0.993380978467902,0.114866146527831)); +#25348=DIRECTION('',(1.,0.,0.)); +#25349=DIRECTION('',(0.,0.993380978467902,0.114866146527831)); +#25350=DIRECTION('',(-1.,0.,0.)); +#25351=DIRECTION('center_axis',(0.,-0.997564050259825,0.0697564737441186)); +#25352=DIRECTION('ref_axis',(0.,-0.0697564737441186,-0.997564050259825)); +#25353=DIRECTION('',(0.,0.0697564737441186,0.997564050259825)); +#25354=DIRECTION('',(-1.,0.,0.)); +#25355=DIRECTION('',(0.,0.0697564737441186,0.997564050259825)); +#25356=DIRECTION('center_axis',(1.,0.,0.)); +#25357=DIRECTION('ref_axis',(0.,0.,1.)); +#25358=DIRECTION('center_axis',(1.,0.,0.)); +#25359=DIRECTION('ref_axis',(0.,0.,-1.)); +#25360=DIRECTION('center_axis',(-1.,0.,0.)); +#25361=DIRECTION('ref_axis',(0.,-1.0842021724855E-15,-1.)); +#25362=DIRECTION('center_axis',(1.,0.,0.)); +#25363=DIRECTION('ref_axis',(0.,0.,-1.)); +#25364=DIRECTION('center_axis',(-1.,0.,0.)); +#25365=DIRECTION('ref_axis',(0.,-1.0842021724855E-15,-1.)); +#25366=DIRECTION('center_axis',(1.,0.,0.)); +#25367=DIRECTION('ref_axis',(0.,0.,1.)); +#25368=DIRECTION('center_axis',(-1.,0.,0.)); +#25369=DIRECTION('ref_axis',(0.,-8.13151629364128E-16,-1.)); +#25370=DIRECTION('center_axis',(1.,0.,0.)); +#25371=DIRECTION('ref_axis',(0.,0.,-1.)); +#25372=DIRECTION('center_axis',(-1.,0.,0.)); +#25373=DIRECTION('ref_axis',(0.,-2.16840434497101E-15,-1.)); +#25374=DIRECTION('center_axis',(1.,0.,0.)); +#25375=DIRECTION('ref_axis',(0.,0.,-1.)); +#25376=DIRECTION('center_axis',(1.,0.,0.)); +#25377=DIRECTION('ref_axis',(0.,0.,-1.)); +#25378=DIRECTION('center_axis',(-1.,0.,0.)); +#25379=DIRECTION('ref_axis',(0.,0.,1.)); +#25380=DIRECTION('center_axis',(1.,0.,0.)); +#25381=DIRECTION('ref_axis',(0.,0.,-1.)); +#25382=DIRECTION('center_axis',(-1.,0.,0.)); +#25383=DIRECTION('ref_axis',(0.,0.,1.)); +#25384=DIRECTION('center_axis',(1.,0.,0.)); +#25385=DIRECTION('ref_axis',(0.,0.,-1.)); +#25386=DIRECTION('center_axis',(-1.,0.,0.)); +#25387=DIRECTION('ref_axis',(0.,0.,1.)); +#25388=DIRECTION('center_axis',(1.,0.,0.)); +#25389=DIRECTION('ref_axis',(0.,0.,-1.)); +#25390=DIRECTION('center_axis',(-1.,0.,0.)); +#25391=DIRECTION('ref_axis',(0.,0.,1.)); +#25392=DIRECTION('center_axis',(0.,1.,0.)); +#25393=DIRECTION('ref_axis',(-1.,0.,0.)); +#25394=DIRECTION('',(0.,0.,1.)); +#25395=DIRECTION('',(-1.,0.,0.)); +#25396=DIRECTION('center_axis',(0.,1.,0.)); +#25397=DIRECTION('ref_axis',(0.,0.,1.)); +#25398=DIRECTION('',(1.,0.,0.)); +#25399=DIRECTION('',(0.,0.,1.)); +#25400=DIRECTION('center_axis',(0.,-1.,0.)); +#25401=DIRECTION('ref_axis',(-1.,0.,0.)); +#25402=DIRECTION('center_axis',(0.,-1.,0.)); +#25403=DIRECTION('ref_axis',(-1.,0.,0.)); +#25404=DIRECTION('center_axis',(0.,1.,0.)); +#25405=DIRECTION('ref_axis',(0.,0.,1.)); +#25406=DIRECTION('center_axis',(0.,1.,0.)); +#25407=DIRECTION('ref_axis',(0.,0.,1.)); +#25408=DIRECTION('',(0.,1.,0.)); +#25409=DIRECTION('',(0.,1.,0.)); +#25410=DIRECTION('center_axis',(0.,0.,-1.)); +#25411=DIRECTION('ref_axis',(1.,0.,0.)); +#25412=DIRECTION('',(0.,1.,0.)); +#25413=DIRECTION('',(1.,0.,0.)); +#25414=DIRECTION('',(0.,-1.,0.)); +#25415=DIRECTION('',(1.,0.,0.)); +#25416=DIRECTION('',(0.,1.,0.)); +#25417=DIRECTION('',(-1.,0.,0.)); +#25418=DIRECTION('center_axis',(1.,0.,0.)); +#25419=DIRECTION('ref_axis',(0.,0.,-1.)); +#25420=DIRECTION('',(0.,1.,0.)); +#25421=DIRECTION('',(0.,0.,1.)); +#25422=DIRECTION('center_axis',(0.,-1.,0.)); +#25423=DIRECTION('ref_axis',(1.,0.,-1.22464679914735E-16)); +#25424=DIRECTION('',(0.,-1.,0.)); +#25425=DIRECTION('center_axis',(0.,1.,0.)); +#25426=DIRECTION('ref_axis',(-1.,0.,0.)); +#25427=DIRECTION('center_axis',(1.,0.,0.)); +#25428=DIRECTION('ref_axis',(0.,0.,-1.)); +#25429=DIRECTION('',(0.,-1.,0.)); +#25430=DIRECTION('',(0.,0.,1.)); +#25431=DIRECTION('center_axis',(0.,0.,1.)); +#25432=DIRECTION('ref_axis',(-1.,0.,0.)); +#25433=DIRECTION('',(0.,-1.,0.)); +#25434=DIRECTION('',(-1.,0.,0.)); +#25435=DIRECTION('',(0.,-1.,0.)); +#25436=DIRECTION('',(-1.,0.,0.)); +#25437=DIRECTION('',(1.,0.,0.)); +#25438=DIRECTION('center_axis',(0.,1.,0.)); +#25439=DIRECTION('ref_axis',(0.,0.,-1.)); +#25440=DIRECTION('center_axis',(0.,1.,0.)); +#25441=DIRECTION('ref_axis',(0.,0.,-1.)); +#25442=DIRECTION('',(0.,1.,0.)); +#25443=DIRECTION('center_axis',(0.,1.,0.)); +#25444=DIRECTION('ref_axis',(0.,0.,-1.)); +#25445=DIRECTION('',(0.,1.,0.)); +#25446=DIRECTION('center_axis',(0.,1.,0.)); +#25447=DIRECTION('ref_axis',(-1.,0.,0.)); +#25448=DIRECTION('',(0.,0.,-1.)); +#25449=DIRECTION('center_axis',(0.,-1.,0.)); +#25450=DIRECTION('ref_axis',(-1.,0.,0.)); +#25451=DIRECTION('',(0.,0.,-1.)); +#25452=DIRECTION('',(1.,0.,0.)); +#25453=DIRECTION('',(-1.,0.,0.)); +#25454=DIRECTION('center_axis',(0.,-1.,0.)); +#25455=DIRECTION('ref_axis',(-1.,0.,0.)); +#25456=DIRECTION('center_axis',(0.,1.,0.)); +#25457=DIRECTION('ref_axis',(-1.,0.,0.)); +#25458=DIRECTION('',(0.,0.,-1.)); +#25459=DIRECTION('',(1.,0.,0.)); +#25460=DIRECTION('center_axis',(0.,1.,0.)); +#25461=DIRECTION('ref_axis',(0.,0.,-1.)); +#25462=DIRECTION('',(-1.,0.,0.)); +#25463=DIRECTION('',(0.,0.,-1.)); +#25464=DIRECTION('center_axis',(0.,-1.,0.)); +#25465=DIRECTION('ref_axis',(-1.,0.,0.)); +#25466=DIRECTION('center_axis',(0.,-1.,0.)); +#25467=DIRECTION('ref_axis',(-1.,0.,0.)); +#25468=DIRECTION('center_axis',(0.,1.,0.)); +#25469=DIRECTION('ref_axis',(0.,0.,-1.)); +#25470=DIRECTION('center_axis',(0.,1.,0.)); +#25471=DIRECTION('ref_axis',(0.,0.,-1.)); +#25472=DIRECTION('',(0.,1.,0.)); +#25473=DIRECTION('',(0.,1.,0.)); +#25474=DIRECTION('center_axis',(0.,1.,0.)); +#25475=DIRECTION('ref_axis',(0.,0.,1.)); +#25476=DIRECTION('center_axis',(0.,1.,0.)); +#25477=DIRECTION('ref_axis',(0.,0.,1.)); +#25478=DIRECTION('',(0.,1.,0.)); +#25479=DIRECTION('center_axis',(0.,1.,0.)); +#25480=DIRECTION('ref_axis',(0.,0.,1.)); +#25481=DIRECTION('',(0.,1.,0.)); +#25482=DIRECTION('center_axis',(0.,1.,0.)); +#25483=DIRECTION('ref_axis',(-1.,0.,0.)); +#25484=DIRECTION('',(0.,0.,1.)); +#25485=DIRECTION('center_axis',(0.,-1.,0.)); +#25486=DIRECTION('ref_axis',(-1.,0.,0.)); +#25487=DIRECTION('',(0.,0.,1.)); +#25488=DIRECTION('',(-1.,0.,0.)); +#25489=DIRECTION('',(1.,0.,0.)); +#25490=DIRECTION('center_axis',(0.,-1.,0.)); +#25491=DIRECTION('ref_axis',(-1.,0.,0.)); +#25492=DIRECTION('center_axis',(0.,1.,0.)); +#25493=DIRECTION('ref_axis',(0.,0.,1.)); +#25494=DIRECTION('center_axis',(0.,1.,0.)); +#25495=DIRECTION('ref_axis',(0.,0.,1.)); +#25496=DIRECTION('',(0.,1.,0.)); +#25497=DIRECTION('center_axis',(0.,1.,0.)); +#25498=DIRECTION('ref_axis',(0.,0.,1.)); +#25499=DIRECTION('',(0.,1.,0.)); +#25500=DIRECTION('center_axis',(0.,1.,0.)); +#25501=DIRECTION('ref_axis',(-1.,0.,0.)); +#25502=DIRECTION('',(0.,0.,1.)); +#25503=DIRECTION('center_axis',(0.,-1.,0.)); +#25504=DIRECTION('ref_axis',(-1.,0.,0.)); +#25505=DIRECTION('',(0.,0.,1.)); +#25506=DIRECTION('',(-1.,0.,0.)); +#25507=DIRECTION('',(1.,0.,0.)); +#25508=DIRECTION('center_axis',(0.,-1.,0.)); +#25509=DIRECTION('ref_axis',(-1.,0.,0.)); +#25510=DIRECTION('center_axis',(0.,1.,0.)); +#25511=DIRECTION('ref_axis',(0.,0.,1.)); +#25512=DIRECTION('center_axis',(0.,1.,0.)); +#25513=DIRECTION('ref_axis',(0.,0.,1.)); +#25514=DIRECTION('',(0.,1.,0.)); +#25515=DIRECTION('center_axis',(0.,1.,0.)); +#25516=DIRECTION('ref_axis',(0.,0.,1.)); +#25517=DIRECTION('',(0.,1.,0.)); +#25518=DIRECTION('center_axis',(0.,1.,0.)); +#25519=DIRECTION('ref_axis',(-1.,0.,0.)); +#25520=DIRECTION('',(0.,0.,1.)); +#25521=DIRECTION('center_axis',(0.,-1.,0.)); +#25522=DIRECTION('ref_axis',(-1.,0.,0.)); +#25523=DIRECTION('',(0.,0.,1.)); +#25524=DIRECTION('',(-1.,0.,0.)); +#25525=DIRECTION('',(1.,0.,0.)); +#25526=DIRECTION('center_axis',(0.,-1.,0.)); +#25527=DIRECTION('ref_axis',(-1.,0.,0.)); +#25528=DIRECTION('center_axis',(0.,1.,0.)); +#25529=DIRECTION('ref_axis',(0.,0.,-1.)); +#25530=DIRECTION('center_axis',(0.,1.,0.)); +#25531=DIRECTION('ref_axis',(0.,0.,-1.)); +#25532=DIRECTION('',(0.,1.,0.)); +#25533=DIRECTION('center_axis',(0.,1.,0.)); +#25534=DIRECTION('ref_axis',(0.,0.,-1.)); +#25535=DIRECTION('',(0.,1.,0.)); +#25536=DIRECTION('center_axis',(0.,1.,0.)); +#25537=DIRECTION('ref_axis',(-1.,0.,0.)); +#25538=DIRECTION('',(0.,0.,-1.)); +#25539=DIRECTION('center_axis',(0.,-1.,0.)); +#25540=DIRECTION('ref_axis',(-1.,0.,0.)); +#25541=DIRECTION('',(0.,0.,-1.)); +#25542=DIRECTION('',(1.,0.,0.)); +#25543=DIRECTION('',(-1.,0.,0.)); +#25544=DIRECTION('center_axis',(0.,-1.,0.)); +#25545=DIRECTION('ref_axis',(-1.,0.,0.)); +#25546=DIRECTION('center_axis',(0.,1.,0.)); +#25547=DIRECTION('ref_axis',(-1.,0.,0.)); +#25548=DIRECTION('',(0.,0.,-1.)); +#25549=DIRECTION('',(1.,0.,0.)); +#25550=DIRECTION('center_axis',(0.,1.,0.)); +#25551=DIRECTION('ref_axis',(0.,0.,-1.)); +#25552=DIRECTION('',(-1.,0.,0.)); +#25553=DIRECTION('',(0.,0.,-1.)); +#25554=DIRECTION('center_axis',(0.,-1.,0.)); +#25555=DIRECTION('ref_axis',(-1.,0.,0.)); +#25556=DIRECTION('center_axis',(0.,-1.,0.)); +#25557=DIRECTION('ref_axis',(-1.,0.,0.)); +#25558=DIRECTION('center_axis',(0.,1.,0.)); +#25559=DIRECTION('ref_axis',(0.,0.,-1.)); +#25560=DIRECTION('center_axis',(0.,1.,0.)); +#25561=DIRECTION('ref_axis',(0.,0.,-1.)); +#25562=DIRECTION('',(0.,1.,0.)); +#25563=DIRECTION('',(0.,1.,0.)); +#25564=DIRECTION('center_axis',(0.,1.,0.)); +#25565=DIRECTION('ref_axis',(0.,0.,-1.)); +#25566=DIRECTION('center_axis',(0.,1.,0.)); +#25567=DIRECTION('ref_axis',(0.,0.,-1.)); +#25568=DIRECTION('',(0.,1.,0.)); +#25569=DIRECTION('center_axis',(0.,1.,0.)); +#25570=DIRECTION('ref_axis',(0.,0.,-1.)); +#25571=DIRECTION('',(0.,1.,0.)); +#25572=DIRECTION('center_axis',(0.,1.,0.)); +#25573=DIRECTION('ref_axis',(-1.,0.,0.)); +#25574=DIRECTION('',(0.,0.,-1.)); +#25575=DIRECTION('center_axis',(0.,-1.,0.)); +#25576=DIRECTION('ref_axis',(-1.,0.,0.)); +#25577=DIRECTION('',(0.,0.,-1.)); +#25578=DIRECTION('',(1.,0.,0.)); +#25579=DIRECTION('',(-1.,0.,0.)); +#25580=DIRECTION('center_axis',(0.,-1.,0.)); +#25581=DIRECTION('ref_axis',(-1.,0.,0.)); +#25582=DIRECTION('center_axis',(0.,1.,0.)); +#25583=DIRECTION('ref_axis',(-1.,0.,0.)); +#25584=DIRECTION('',(0.,0.,-1.)); +#25585=DIRECTION('',(1.,0.,0.)); +#25586=DIRECTION('center_axis',(0.,1.,0.)); +#25587=DIRECTION('ref_axis',(0.,0.,-1.)); +#25588=DIRECTION('',(-1.,0.,0.)); +#25589=DIRECTION('',(0.,0.,-1.)); +#25590=DIRECTION('center_axis',(0.,-1.,0.)); +#25591=DIRECTION('ref_axis',(-1.,0.,0.)); +#25592=DIRECTION('center_axis',(0.,-1.,0.)); +#25593=DIRECTION('ref_axis',(-1.,0.,0.)); +#25594=DIRECTION('center_axis',(0.,1.,0.)); +#25595=DIRECTION('ref_axis',(0.,0.,-1.)); +#25596=DIRECTION('center_axis',(0.,1.,0.)); +#25597=DIRECTION('ref_axis',(0.,0.,-1.)); +#25598=DIRECTION('',(0.,1.,0.)); +#25599=DIRECTION('',(0.,1.,0.)); +#25600=DIRECTION('center_axis',(0.,1.,0.)); +#25601=DIRECTION('ref_axis',(0.,0.,1.)); +#25602=DIRECTION('center_axis',(0.,1.,0.)); +#25603=DIRECTION('ref_axis',(0.,0.,1.)); +#25604=DIRECTION('',(0.,1.,0.)); +#25605=DIRECTION('center_axis',(0.,1.,0.)); +#25606=DIRECTION('ref_axis',(0.,0.,1.)); +#25607=DIRECTION('',(0.,1.,0.)); +#25608=DIRECTION('center_axis',(0.,1.,0.)); +#25609=DIRECTION('ref_axis',(-1.,0.,0.)); +#25610=DIRECTION('',(0.,0.,1.)); +#25611=DIRECTION('center_axis',(0.,-1.,0.)); +#25612=DIRECTION('ref_axis',(-1.,0.,0.)); +#25613=DIRECTION('',(0.,0.,1.)); +#25614=DIRECTION('',(-1.,0.,0.)); +#25615=DIRECTION('',(1.,0.,0.)); +#25616=DIRECTION('center_axis',(0.,-1.,0.)); +#25617=DIRECTION('ref_axis',(-1.,0.,0.)); +#25618=DIRECTION('center_axis',(0.,1.,0.)); +#25619=DIRECTION('ref_axis',(-1.,0.,0.)); +#25620=DIRECTION('',(0.,0.,1.)); +#25621=DIRECTION('',(-1.,0.,0.)); +#25622=DIRECTION('center_axis',(0.,1.,0.)); +#25623=DIRECTION('ref_axis',(0.,0.,1.)); +#25624=DIRECTION('',(1.,0.,0.)); +#25625=DIRECTION('',(0.,0.,1.)); +#25626=DIRECTION('center_axis',(0.,-1.,0.)); +#25627=DIRECTION('ref_axis',(-1.,0.,0.)); +#25628=DIRECTION('center_axis',(0.,-1.,0.)); +#25629=DIRECTION('ref_axis',(-1.,0.,0.)); +#25630=DIRECTION('center_axis',(0.,1.,0.)); +#25631=DIRECTION('ref_axis',(0.,0.,1.)); +#25632=DIRECTION('center_axis',(0.,1.,0.)); +#25633=DIRECTION('ref_axis',(0.,0.,1.)); +#25634=DIRECTION('',(0.,1.,0.)); +#25635=DIRECTION('',(0.,1.,0.)); +#25636=DIRECTION('center_axis',(0.,1.,0.)); +#25637=DIRECTION('ref_axis',(0.,0.,1.)); +#25638=DIRECTION('center_axis',(0.,1.,0.)); +#25639=DIRECTION('ref_axis',(0.,0.,1.)); +#25640=DIRECTION('',(0.,1.,0.)); +#25641=DIRECTION('center_axis',(0.,1.,0.)); +#25642=DIRECTION('ref_axis',(0.,0.,1.)); +#25643=DIRECTION('',(0.,1.,0.)); +#25644=DIRECTION('center_axis',(0.,1.,0.)); +#25645=DIRECTION('ref_axis',(-1.,0.,0.)); +#25646=DIRECTION('',(0.,0.,1.)); +#25647=DIRECTION('center_axis',(0.,-1.,0.)); +#25648=DIRECTION('ref_axis',(-1.,0.,0.)); +#25649=DIRECTION('',(0.,0.,1.)); +#25650=DIRECTION('',(-1.,0.,0.)); +#25651=DIRECTION('',(1.,0.,0.)); +#25652=DIRECTION('center_axis',(0.,-1.,0.)); +#25653=DIRECTION('ref_axis',(-1.,0.,0.)); +#25654=DIRECTION('center_axis',(0.,1.,0.)); +#25655=DIRECTION('ref_axis',(-1.,0.,0.)); +#25656=DIRECTION('',(0.,0.,1.)); +#25657=DIRECTION('',(-1.,0.,0.)); +#25658=DIRECTION('center_axis',(0.,1.,0.)); +#25659=DIRECTION('ref_axis',(0.,0.,1.)); +#25660=DIRECTION('',(1.,0.,0.)); +#25661=DIRECTION('',(0.,0.,1.)); +#25662=DIRECTION('center_axis',(0.,-1.,0.)); +#25663=DIRECTION('ref_axis',(-1.,0.,0.)); +#25664=DIRECTION('center_axis',(0.,-1.,0.)); +#25665=DIRECTION('ref_axis',(-1.,0.,0.)); +#25666=DIRECTION('center_axis',(0.,1.,0.)); +#25667=DIRECTION('ref_axis',(0.,0.,1.)); +#25668=DIRECTION('center_axis',(0.,1.,0.)); +#25669=DIRECTION('ref_axis',(0.,0.,1.)); +#25670=DIRECTION('',(0.,1.,0.)); +#25671=DIRECTION('',(0.,1.,0.)); +#25672=DIRECTION('center_axis',(0.,1.,0.)); +#25673=DIRECTION('ref_axis',(-1.,0.,0.)); +#25674=DIRECTION('',(0.,0.,-1.)); +#25675=DIRECTION('',(1.,0.,0.)); +#25676=DIRECTION('center_axis',(0.,1.,0.)); +#25677=DIRECTION('ref_axis',(0.,0.,-1.)); +#25678=DIRECTION('',(-1.,0.,0.)); +#25679=DIRECTION('',(0.,0.,-1.)); +#25680=DIRECTION('center_axis',(0.,-1.,0.)); +#25681=DIRECTION('ref_axis',(-1.,0.,0.)); +#25682=DIRECTION('center_axis',(0.,-1.,0.)); +#25683=DIRECTION('ref_axis',(-1.,0.,0.)); +#25684=DIRECTION('center_axis',(0.,1.,0.)); +#25685=DIRECTION('ref_axis',(0.,0.,-1.)); +#25686=DIRECTION('center_axis',(0.,1.,0.)); +#25687=DIRECTION('ref_axis',(0.,0.,-1.)); +#25688=DIRECTION('',(0.,1.,0.)); +#25689=DIRECTION('',(0.,1.,0.)); +#25690=DIRECTION('center_axis',(0.,1.,0.)); +#25691=DIRECTION('ref_axis',(0.,0.,-1.)); +#25692=DIRECTION('center_axis',(0.,1.,0.)); +#25693=DIRECTION('ref_axis',(0.,0.,-1.)); +#25694=DIRECTION('',(0.,1.,0.)); +#25695=DIRECTION('center_axis',(0.,1.,0.)); +#25696=DIRECTION('ref_axis',(0.,0.,-1.)); +#25697=DIRECTION('',(0.,1.,0.)); +#25698=DIRECTION('center_axis',(0.,1.,0.)); +#25699=DIRECTION('ref_axis',(-1.,0.,0.)); +#25700=DIRECTION('',(0.,0.,-1.)); +#25701=DIRECTION('center_axis',(0.,-1.,0.)); +#25702=DIRECTION('ref_axis',(-1.,0.,0.)); +#25703=DIRECTION('',(0.,0.,-1.)); +#25704=DIRECTION('',(1.,0.,0.)); +#25705=DIRECTION('',(-1.,0.,0.)); +#25706=DIRECTION('center_axis',(0.,-1.,0.)); +#25707=DIRECTION('ref_axis',(-1.,0.,0.)); +#25708=DIRECTION('center_axis',(0.,0.,1.)); +#25709=DIRECTION('ref_axis',(-1.,0.,0.)); +#25710=DIRECTION('',(0.,1.,0.)); +#25711=DIRECTION('',(-1.,0.,0.)); +#25712=DIRECTION('',(0.,-1.,0.)); +#25713=DIRECTION('',(-1.,0.,0.)); +#25714=DIRECTION('',(0.,1.,0.)); +#25715=DIRECTION('',(1.,0.,0.)); +#25716=DIRECTION('center_axis',(0.,0.,1.)); +#25717=DIRECTION('ref_axis',(-1.,0.,0.)); +#25718=DIRECTION('',(0.,1.,0.)); +#25719=DIRECTION('',(-1.,0.,0.)); +#25720=DIRECTION('',(0.,-1.,0.)); +#25721=DIRECTION('',(-1.,0.,0.)); +#25722=DIRECTION('',(0.,1.,0.)); +#25723=DIRECTION('',(1.,0.,0.)); +#25724=DIRECTION('center_axis',(-1.,0.,0.)); +#25725=DIRECTION('ref_axis',(0.,0.,1.)); +#25726=DIRECTION('',(0.,1.,0.)); +#25727=DIRECTION('',(0.,0.,-1.)); +#25728=DIRECTION('center_axis',(0.,-1.,0.)); +#25729=DIRECTION('ref_axis',(-1.,0.,0.)); +#25730=DIRECTION('',(0.,-1.,0.)); +#25731=DIRECTION('center_axis',(0.,1.,0.)); +#25732=DIRECTION('ref_axis',(-1.,0.,0.)); +#25733=DIRECTION('center_axis',(-1.,0.,0.)); +#25734=DIRECTION('ref_axis',(0.,0.,1.)); +#25735=DIRECTION('',(0.,-1.,0.)); +#25736=DIRECTION('',(0.,0.,-1.)); +#25737=DIRECTION('center_axis',(0.,0.,-1.)); +#25738=DIRECTION('ref_axis',(1.,0.,0.)); +#25739=DIRECTION('',(0.,1.,0.)); +#25740=DIRECTION('',(1.,0.,0.)); +#25741=DIRECTION('',(0.,-1.,0.)); +#25742=DIRECTION('',(1.,0.,0.)); +#25743=DIRECTION('',(0.,1.,0.)); +#25744=DIRECTION('',(-1.,0.,0.)); +#25745=DIRECTION('center_axis',(0.,0.,-1.)); +#25746=DIRECTION('ref_axis',(1.,0.,0.)); +#25747=DIRECTION('',(0.,1.,0.)); +#25748=DIRECTION('',(1.,0.,0.)); +#25749=DIRECTION('',(0.,-1.,0.)); +#25750=DIRECTION('',(1.,0.,0.)); +#25751=DIRECTION('',(0.,1.,0.)); +#25752=DIRECTION('',(-1.,0.,0.)); +#25753=DIRECTION('center_axis',(0.,0.,-1.)); +#25754=DIRECTION('ref_axis',(1.,0.,0.)); +#25755=DIRECTION('',(0.,1.,0.)); +#25756=DIRECTION('',(1.,0.,0.)); +#25757=DIRECTION('',(0.,-1.,0.)); +#25758=DIRECTION('',(1.,0.,0.)); +#25759=DIRECTION('',(0.,1.,0.)); +#25760=DIRECTION('',(-1.,0.,0.)); +#25761=DIRECTION('center_axis',(0.,0.,1.)); +#25762=DIRECTION('ref_axis',(-1.,0.,0.)); +#25763=DIRECTION('',(0.,1.,0.)); +#25764=DIRECTION('',(-1.,0.,0.)); +#25765=DIRECTION('',(0.,-1.,0.)); +#25766=DIRECTION('',(-1.,0.,0.)); +#25767=DIRECTION('',(0.,1.,0.)); +#25768=DIRECTION('',(1.,0.,0.)); +#25769=DIRECTION('center_axis',(0.,0.,1.)); +#25770=DIRECTION('ref_axis',(-1.,0.,0.)); +#25771=DIRECTION('',(0.,1.,0.)); +#25772=DIRECTION('',(-1.,0.,0.)); +#25773=DIRECTION('',(0.,-1.,0.)); +#25774=DIRECTION('',(-1.,0.,0.)); +#25775=DIRECTION('',(0.,1.,0.)); +#25776=DIRECTION('',(1.,0.,0.)); +#25777=DIRECTION('center_axis',(-1.,0.,0.)); +#25778=DIRECTION('ref_axis',(0.,0.,1.)); +#25779=DIRECTION('',(0.,1.,0.)); +#25780=DIRECTION('',(0.,0.,-1.)); +#25781=DIRECTION('center_axis',(0.,-1.,0.)); +#25782=DIRECTION('ref_axis',(-1.,0.,0.)); +#25783=DIRECTION('',(0.,-1.,0.)); +#25784=DIRECTION('center_axis',(0.,1.,0.)); +#25785=DIRECTION('ref_axis',(-1.,0.,0.)); +#25786=DIRECTION('center_axis',(-1.,0.,0.)); +#25787=DIRECTION('ref_axis',(0.,0.,1.)); +#25788=DIRECTION('',(0.,-1.,0.)); +#25789=DIRECTION('',(0.,0.,-1.)); +#25790=DIRECTION('center_axis',(0.,0.,1.)); +#25791=DIRECTION('ref_axis',(-1.,0.,0.)); +#25792=DIRECTION('',(0.,1.,0.)); +#25793=DIRECTION('',(-1.,0.,0.)); +#25794=DIRECTION('',(0.,-1.,0.)); +#25795=DIRECTION('',(-1.,0.,0.)); +#25796=DIRECTION('',(0.,1.,0.)); +#25797=DIRECTION('',(1.,0.,0.)); +#25798=DIRECTION('center_axis',(0.,0.,1.)); +#25799=DIRECTION('ref_axis',(-1.,0.,0.)); +#25800=DIRECTION('',(0.,1.,0.)); +#25801=DIRECTION('',(-1.,0.,0.)); +#25802=DIRECTION('',(0.,-1.,0.)); +#25803=DIRECTION('',(-1.,0.,0.)); +#25804=DIRECTION('',(0.,1.,0.)); +#25805=DIRECTION('',(1.,0.,0.)); +#25806=DIRECTION('center_axis',(-1.,0.,0.)); +#25807=DIRECTION('ref_axis',(0.,0.,1.)); +#25808=DIRECTION('',(0.,1.,0.)); +#25809=DIRECTION('',(0.,0.,-1.)); +#25810=DIRECTION('center_axis',(0.,-1.,0.)); +#25811=DIRECTION('ref_axis',(-1.,0.,0.)); +#25812=DIRECTION('',(0.,-1.,0.)); +#25813=DIRECTION('center_axis',(0.,1.,0.)); +#25814=DIRECTION('ref_axis',(-1.,0.,0.)); +#25815=DIRECTION('center_axis',(-1.,0.,0.)); +#25816=DIRECTION('ref_axis',(0.,0.,1.)); +#25817=DIRECTION('',(0.,-1.,0.)); +#25818=DIRECTION('',(0.,0.,-1.)); +#25819=DIRECTION('center_axis',(0.,0.,-1.)); +#25820=DIRECTION('ref_axis',(1.,0.,0.)); +#25821=DIRECTION('',(0.,1.,0.)); +#25822=DIRECTION('',(1.,0.,0.)); +#25823=DIRECTION('',(0.,-1.,0.)); +#25824=DIRECTION('',(1.,0.,0.)); +#25825=DIRECTION('',(0.,1.,0.)); +#25826=DIRECTION('',(-1.,0.,0.)); +#25827=DIRECTION('center_axis',(0.,0.,-1.)); +#25828=DIRECTION('ref_axis',(1.,0.,0.)); +#25829=DIRECTION('',(0.,1.,0.)); +#25830=DIRECTION('',(1.,0.,0.)); +#25831=DIRECTION('',(0.,-1.,0.)); +#25832=DIRECTION('',(1.,0.,0.)); +#25833=DIRECTION('',(0.,1.,0.)); +#25834=DIRECTION('',(-1.,0.,0.)); +#25835=DIRECTION('center_axis',(1.,0.,0.)); +#25836=DIRECTION('ref_axis',(0.,0.,-1.)); +#25837=DIRECTION('',(0.,1.,0.)); +#25838=DIRECTION('',(0.,0.,1.)); +#25839=DIRECTION('center_axis',(0.,-1.,0.)); +#25840=DIRECTION('ref_axis',(1.,0.,-1.22464679914735E-16)); +#25841=DIRECTION('',(0.,-1.,0.)); +#25842=DIRECTION('center_axis',(0.,1.,0.)); +#25843=DIRECTION('ref_axis',(-1.,0.,0.)); +#25844=DIRECTION('center_axis',(1.,0.,0.)); +#25845=DIRECTION('ref_axis',(0.,0.,-1.)); +#25846=DIRECTION('',(0.,-1.,0.)); +#25847=DIRECTION('',(0.,0.,1.)); +#25848=DIRECTION('center_axis',(0.,0.,-1.)); +#25849=DIRECTION('ref_axis',(1.,0.,0.)); +#25850=DIRECTION('',(0.,1.,0.)); +#25851=DIRECTION('',(1.,0.,0.)); +#25852=DIRECTION('',(0.,-1.,0.)); +#25853=DIRECTION('',(1.,0.,0.)); +#25854=DIRECTION('',(0.,1.,0.)); +#25855=DIRECTION('',(-1.,0.,0.)); +#25856=DIRECTION('center_axis',(0.,0.,-1.)); +#25857=DIRECTION('ref_axis',(1.,0.,0.)); +#25858=DIRECTION('',(0.,1.,0.)); +#25859=DIRECTION('',(1.,0.,0.)); +#25860=DIRECTION('',(0.,-1.,0.)); +#25861=DIRECTION('',(1.,0.,0.)); +#25862=DIRECTION('',(0.,1.,0.)); +#25863=DIRECTION('',(-1.,0.,0.)); +#25864=DIRECTION('center_axis',(1.,0.,0.)); +#25865=DIRECTION('ref_axis',(0.,0.,-1.)); +#25866=DIRECTION('',(0.,1.,0.)); +#25867=DIRECTION('',(0.,0.,1.)); +#25868=DIRECTION('center_axis',(0.,-1.,0.)); +#25869=DIRECTION('ref_axis',(1.,0.,-1.22464679914735E-16)); +#25870=DIRECTION('',(0.,-1.,0.)); +#25871=DIRECTION('center_axis',(0.,1.,0.)); +#25872=DIRECTION('ref_axis',(-1.,0.,0.)); +#25873=DIRECTION('center_axis',(1.,0.,0.)); +#25874=DIRECTION('ref_axis',(0.,0.,-1.)); +#25875=DIRECTION('',(0.,-1.,0.)); +#25876=DIRECTION('',(0.,0.,1.)); +#25877=DIRECTION('center_axis',(0.,0.,1.)); +#25878=DIRECTION('ref_axis',(-1.,0.,0.)); +#25879=DIRECTION('',(0.,1.,0.)); +#25880=DIRECTION('',(-1.,0.,0.)); +#25881=DIRECTION('',(0.,-1.,0.)); +#25882=DIRECTION('',(-1.,0.,0.)); +#25883=DIRECTION('',(0.,1.,0.)); +#25884=DIRECTION('',(1.,0.,0.)); +#25885=DIRECTION('center_axis',(-1.,0.,0.)); +#25886=DIRECTION('ref_axis',(0.,0.,1.)); +#25887=DIRECTION('',(0.,1.,0.)); +#25888=DIRECTION('',(0.,0.,-1.)); +#25889=DIRECTION('center_axis',(0.,-1.,0.)); +#25890=DIRECTION('ref_axis',(-1.,0.,0.)); +#25891=DIRECTION('',(0.,-1.,0.)); +#25892=DIRECTION('center_axis',(0.,1.,0.)); +#25893=DIRECTION('ref_axis',(-1.,0.,0.)); +#25894=DIRECTION('center_axis',(-1.,0.,0.)); +#25895=DIRECTION('ref_axis',(0.,0.,1.)); +#25896=DIRECTION('',(0.,-1.,0.)); +#25897=DIRECTION('',(0.,0.,-1.)); +#25898=DIRECTION('center_axis',(0.,1.,0.)); +#25899=DIRECTION('ref_axis',(1.,0.,0.)); +#25900=DIRECTION('',(0.,0.,-1.)); +#25901=DIRECTION('',(1.,0.,0.)); +#25902=DIRECTION('',(0.,0.,-1.)); +#25903=DIRECTION('',(1.,0.,0.)); +#25904=DIRECTION('',(0.,0.,-1.)); +#25905=DIRECTION('',(1.,0.,0.)); +#25906=DIRECTION('',(0.,0.,-1.)); +#25907=DIRECTION('',(1.,0.,0.)); +#25908=DIRECTION('',(0.,0.,-1.)); +#25909=DIRECTION('',(1.,0.,0.)); +#25910=DIRECTION('',(0.,0.,-1.)); +#25911=DIRECTION('',(1.,0.,0.)); +#25912=DIRECTION('',(0.,0.,-1.)); +#25913=DIRECTION('',(1.,0.,0.)); +#25914=DIRECTION('',(0.,0.,-1.)); +#25915=DIRECTION('',(1.,0.,0.)); +#25916=DIRECTION('',(0.,0.,1.)); +#25917=DIRECTION('',(-1.,0.,0.)); +#25918=DIRECTION('',(0.,0.,1.)); +#25919=DIRECTION('',(-1.,0.,0.)); +#25920=DIRECTION('',(0.,0.,1.)); +#25921=DIRECTION('',(-1.,0.,0.)); +#25922=DIRECTION('',(0.,0.,1.)); +#25923=DIRECTION('',(-1.,0.,0.)); +#25924=DIRECTION('',(0.,0.,1.)); +#25925=DIRECTION('',(-1.,0.,0.)); +#25926=DIRECTION('',(0.,0.,1.)); +#25927=DIRECTION('',(-1.,0.,0.)); +#25928=DIRECTION('',(0.,0.,1.)); +#25929=DIRECTION('',(-1.,0.,0.)); +#25930=DIRECTION('',(0.,0.,1.)); +#25931=DIRECTION('',(-1.,0.,0.)); +#25932=DIRECTION('',(1.,0.,0.)); +#25933=DIRECTION('',(0.,0.,1.)); +#25934=DIRECTION('',(-1.,0.,0.)); +#25935=DIRECTION('',(0.,0.,-1.)); +#25936=DIRECTION('center_axis',(0.,0.,1.)); +#25937=DIRECTION('ref_axis',(-1.,0.,0.)); +#25938=DIRECTION('',(0.,1.,0.)); +#25939=DIRECTION('',(-1.,0.,0.)); +#25940=DIRECTION('',(0.,-1.,0.)); +#25941=DIRECTION('',(-1.,0.,0.)); +#25942=DIRECTION('',(0.,1.,0.)); +#25943=DIRECTION('center_axis',(0.,-1.,0.)); +#25944=DIRECTION('ref_axis',(-1.,0.,0.)); +#25945=DIRECTION('center_axis',(0.,1.,0.)); +#25946=DIRECTION('ref_axis',(-1.,0.,0.)); +#25947=DIRECTION('',(0.,-1.,0.)); +#25948=DIRECTION('center_axis',(0.,-1.,0.)); +#25949=DIRECTION('ref_axis',(-1.,0.,0.)); +#25950=DIRECTION('',(0.,-1.,0.)); +#25951=DIRECTION('center_axis',(0.,1.,0.)); +#25952=DIRECTION('ref_axis',(-1.,0.,0.)); +#25953=DIRECTION('',(0.,1.,0.)); +#25954=DIRECTION('center_axis',(0.,-1.,0.)); +#25955=DIRECTION('ref_axis',(-1.,0.,0.)); +#25956=DIRECTION('',(0.,-1.,0.)); +#25957=DIRECTION('center_axis',(0.,1.,0.)); +#25958=DIRECTION('ref_axis',(-1.,0.,0.)); +#25959=DIRECTION('',(0.,1.,0.)); +#25960=DIRECTION('center_axis',(0.,-1.,0.)); +#25961=DIRECTION('ref_axis',(1.,0.,-1.22464679914735E-16)); +#25962=DIRECTION('',(0.,-1.,0.)); +#25963=DIRECTION('center_axis',(0.,1.,0.)); +#25964=DIRECTION('ref_axis',(-1.,0.,0.)); +#25965=DIRECTION('',(0.,1.,0.)); +#25966=DIRECTION('center_axis',(0.,-1.,0.)); +#25967=DIRECTION('ref_axis',(1.,0.,-1.22464679914735E-16)); +#25968=DIRECTION('',(0.,-1.,0.)); +#25969=DIRECTION('center_axis',(0.,1.,0.)); +#25970=DIRECTION('ref_axis',(-1.,0.,0.)); +#25971=DIRECTION('',(0.,1.,0.)); +#25972=DIRECTION('center_axis',(0.,-1.,0.)); +#25973=DIRECTION('ref_axis',(-1.,0.,0.)); +#25974=DIRECTION('center_axis',(0.,1.,0.)); +#25975=DIRECTION('ref_axis',(-1.,0.,0.)); +#25976=DIRECTION('',(0.,-1.,0.)); +#25977=DIRECTION('center_axis',(0.,-1.,0.)); +#25978=DIRECTION('ref_axis',(1.,0.,-1.22464679914735E-16)); +#25979=DIRECTION('',(0.,-1.,0.)); +#25980=DIRECTION('center_axis',(0.,1.,0.)); +#25981=DIRECTION('ref_axis',(-1.,0.,0.)); +#25982=DIRECTION('',(0.,1.,0.)); +#25983=DIRECTION('center_axis',(0.,-1.,0.)); +#25984=DIRECTION('ref_axis',(-1.,0.,0.)); +#25985=DIRECTION('center_axis',(0.,1.,0.)); +#25986=DIRECTION('ref_axis',(-1.,0.,0.)); +#25987=DIRECTION('',(0.,-1.,0.)); +#25988=DIRECTION('center_axis',(0.,-1.,0.)); +#25989=DIRECTION('ref_axis',(-1.,0.,0.)); +#25990=DIRECTION('center_axis',(0.,1.,0.)); +#25991=DIRECTION('ref_axis',(-1.,0.,0.)); +#25992=DIRECTION('',(0.,-1.,0.)); +#25993=DIRECTION('center_axis',(0.,-1.,0.)); +#25994=DIRECTION('ref_axis',(-1.,0.,0.)); +#25995=DIRECTION('center_axis',(0.,1.,0.)); +#25996=DIRECTION('ref_axis',(-1.,0.,0.)); +#25997=DIRECTION('',(0.,-1.,0.)); +#25998=DIRECTION('center_axis',(0.,-1.,0.)); +#25999=DIRECTION('ref_axis',(-1.,0.,0.)); +#26000=DIRECTION('',(0.,-1.,0.)); +#26001=DIRECTION('center_axis',(0.,1.,0.)); +#26002=DIRECTION('ref_axis',(-1.,0.,0.)); +#26003=DIRECTION('',(0.,1.,0.)); +#26004=DIRECTION('center_axis',(0.,-1.,0.)); +#26005=DIRECTION('ref_axis',(-1.,0.,0.)); +#26006=DIRECTION('center_axis',(0.,1.,0.)); +#26007=DIRECTION('ref_axis',(-1.,0.,0.)); +#26008=DIRECTION('',(0.,-1.,0.)); +#26009=DIRECTION('center_axis',(0.,-1.,0.)); +#26010=DIRECTION('ref_axis',(-1.,0.,0.)); +#26011=DIRECTION('center_axis',(0.,1.,0.)); +#26012=DIRECTION('ref_axis',(-1.,0.,0.)); +#26013=DIRECTION('',(0.,-1.,0.)); +#26014=DIRECTION('center_axis',(0.,-1.,0.)); +#26015=DIRECTION('ref_axis',(-1.,0.,0.)); +#26016=DIRECTION('center_axis',(0.,1.,0.)); +#26017=DIRECTION('ref_axis',(-1.,0.,0.)); +#26018=DIRECTION('',(0.,-1.,0.)); +#26019=DIRECTION('center_axis',(0.,-1.,0.)); +#26020=DIRECTION('ref_axis',(-1.,0.,0.)); +#26021=DIRECTION('center_axis',(0.,1.,0.)); +#26022=DIRECTION('ref_axis',(-1.,0.,0.)); +#26023=DIRECTION('',(0.,-1.,0.)); +#26024=DIRECTION('center_axis',(0.,-1.,0.)); +#26025=DIRECTION('ref_axis',(-1.,0.,0.)); +#26026=DIRECTION('center_axis',(0.,1.,0.)); +#26027=DIRECTION('ref_axis',(-1.,0.,0.)); +#26028=DIRECTION('',(0.,-1.,0.)); +#26029=DIRECTION('center_axis',(0.,-1.,0.)); +#26030=DIRECTION('ref_axis',(-1.,0.,0.)); +#26031=DIRECTION('center_axis',(0.,1.,0.)); +#26032=DIRECTION('ref_axis',(-1.,0.,0.)); +#26033=DIRECTION('',(0.,-1.,0.)); +#26034=DIRECTION('center_axis',(0.,-1.,0.)); +#26035=DIRECTION('ref_axis',(-1.,0.,0.)); +#26036=DIRECTION('center_axis',(0.,1.,0.)); +#26037=DIRECTION('ref_axis',(-1.,0.,0.)); +#26038=DIRECTION('',(0.,-1.,0.)); +#26039=DIRECTION('center_axis',(0.,-1.,0.)); +#26040=DIRECTION('ref_axis',(1.,0.,-1.22464679914735E-16)); +#26041=DIRECTION('',(0.,-1.,0.)); +#26042=DIRECTION('center_axis',(0.,1.,0.)); +#26043=DIRECTION('ref_axis',(-1.,0.,0.)); +#26044=DIRECTION('',(0.,1.,0.)); +#26045=DIRECTION('center_axis',(0.,-1.,0.)); +#26046=DIRECTION('ref_axis',(-1.,0.,0.)); +#26047=DIRECTION('center_axis',(0.,1.,0.)); +#26048=DIRECTION('ref_axis',(-1.,0.,0.)); +#26049=DIRECTION('',(0.,-1.,0.)); +#26050=DIRECTION('center_axis',(0.,-1.,0.)); +#26051=DIRECTION('ref_axis',(-1.,0.,0.)); +#26052=DIRECTION('center_axis',(0.,1.,0.)); +#26053=DIRECTION('ref_axis',(-1.,0.,0.)); +#26054=DIRECTION('',(0.,-1.,0.)); +#26055=DIRECTION('center_axis',(0.,-1.,0.)); +#26056=DIRECTION('ref_axis',(1.,0.,-1.22464679914735E-16)); +#26057=DIRECTION('',(0.,-1.,0.)); +#26058=DIRECTION('center_axis',(0.,1.,0.)); +#26059=DIRECTION('ref_axis',(-1.,0.,0.)); +#26060=DIRECTION('',(0.,1.,0.)); +#26061=DIRECTION('center_axis',(0.,-1.,0.)); +#26062=DIRECTION('ref_axis',(-1.,0.,0.)); +#26063=DIRECTION('center_axis',(0.,1.,0.)); +#26064=DIRECTION('ref_axis',(-1.,0.,0.)); +#26065=DIRECTION('',(0.,-1.,0.)); +#26066=DIRECTION('center_axis',(0.,-1.,0.)); +#26067=DIRECTION('ref_axis',(-1.,0.,0.)); +#26068=DIRECTION('center_axis',(0.,1.,0.)); +#26069=DIRECTION('ref_axis',(-1.,0.,0.)); +#26070=DIRECTION('',(0.,-1.,0.)); +#26071=DIRECTION('center_axis',(0.,-1.,0.)); +#26072=DIRECTION('ref_axis',(-1.,0.,0.)); +#26073=DIRECTION('',(0.,-1.,0.)); +#26074=DIRECTION('center_axis',(0.,1.,0.)); +#26075=DIRECTION('ref_axis',(-1.,0.,0.)); +#26076=DIRECTION('',(0.,1.,0.)); +#26077=DIRECTION('center_axis',(-1.,0.,0.)); +#26078=DIRECTION('ref_axis',(0.,0.,1.)); +#26079=DIRECTION('',(0.,-1.,0.)); +#26080=DIRECTION('',(0.,0.,-1.)); +#26081=DIRECTION('center_axis',(-1.,0.,0.)); +#26082=DIRECTION('ref_axis',(0.,0.,1.)); +#26083=DIRECTION('',(0.,0.,-1.)); +#26084=DIRECTION('center_axis',(-1.,0.,0.)); +#26085=DIRECTION('ref_axis',(0.,0.,1.)); +#26086=DIRECTION('',(0.,0.,-1.)); +#26087=DIRECTION('center_axis',(-1.,0.,0.)); +#26088=DIRECTION('ref_axis',(0.,0.,1.)); +#26089=DIRECTION('',(0.,-1.,0.)); +#26090=DIRECTION('',(0.,0.,-1.)); +#26091=DIRECTION('center_axis',(1.,0.,0.)); +#26092=DIRECTION('ref_axis',(0.,0.,-1.)); +#26093=DIRECTION('',(0.,-1.,0.)); +#26094=DIRECTION('',(0.,0.,1.)); +#26095=DIRECTION('center_axis',(1.,0.,0.)); +#26096=DIRECTION('ref_axis',(0.,0.,-1.)); +#26097=DIRECTION('',(0.,0.,1.)); +#26098=DIRECTION('center_axis',(1.,0.,0.)); +#26099=DIRECTION('ref_axis',(0.,0.,-1.)); +#26100=DIRECTION('',(0.,-1.,0.)); +#26101=DIRECTION('',(0.,0.,1.)); +#26102=DIRECTION('center_axis',(1.,0.,0.)); +#26103=DIRECTION('ref_axis',(0.,0.,-1.)); +#26104=DIRECTION('',(0.,0.,1.)); +#26105=DIRECTION('center_axis',(1.,0.,0.)); +#26106=DIRECTION('ref_axis',(0.,0.,-1.)); +#26107=DIRECTION('',(0.,-1.,0.)); +#26108=DIRECTION('',(0.,0.,1.)); +#26109=DIRECTION('center_axis',(1.,0.,0.)); +#26110=DIRECTION('ref_axis',(0.,0.,-1.)); +#26111=DIRECTION('',(0.,0.,1.)); +#26112=DIRECTION('center_axis',(-1.,0.,0.)); +#26113=DIRECTION('ref_axis',(0.,0.,1.)); +#26114=DIRECTION('',(0.,0.,-1.)); +#26115=DIRECTION('center_axis',(-1.,0.,0.)); +#26116=DIRECTION('ref_axis',(0.,0.,1.)); +#26117=DIRECTION('',(0.,-1.,0.)); +#26118=DIRECTION('',(0.,0.,-1.)); +#26119=DIRECTION('center_axis',(1.,0.,0.)); +#26120=DIRECTION('ref_axis',(0.,0.,-1.)); +#26121=DIRECTION('',(0.,-1.,0.)); +#26122=DIRECTION('',(0.,0.,1.)); +#26123=DIRECTION('center_axis',(1.,0.,0.)); +#26124=DIRECTION('ref_axis',(0.,0.,-1.)); +#26125=DIRECTION('',(0.,0.,1.)); +#26126=DIRECTION('center_axis',(1.,0.,0.)); +#26127=DIRECTION('ref_axis',(0.,0.,-1.)); +#26128=DIRECTION('',(0.,-1.,0.)); +#26129=DIRECTION('',(0.,0.,1.)); +#26130=DIRECTION('center_axis',(1.,0.,0.)); +#26131=DIRECTION('ref_axis',(0.,0.,-1.)); +#26132=DIRECTION('',(0.,0.,1.)); +#26133=DIRECTION('center_axis',(-1.,0.,0.)); +#26134=DIRECTION('ref_axis',(0.,0.,1.)); +#26135=DIRECTION('',(0.,0.,-1.)); +#26136=DIRECTION('center_axis',(-1.,0.,0.)); +#26137=DIRECTION('ref_axis',(0.,0.,1.)); +#26138=DIRECTION('',(0.,-1.,0.)); +#26139=DIRECTION('',(0.,0.,-1.)); +#26140=DIRECTION('center_axis',(-1.,0.,0.)); +#26141=DIRECTION('ref_axis',(0.,0.,1.)); +#26142=DIRECTION('',(0.,0.,1.)); +#26143=DIRECTION('',(0.,1.,0.)); +#26144=DIRECTION('center_axis',(-1.,0.,0.)); +#26145=DIRECTION('ref_axis',(0.,0.,1.)); +#26146=DIRECTION('',(0.,1.,0.)); +#26147=DIRECTION('',(0.,0.,1.)); +#26148=DIRECTION('center_axis',(-1.,0.,0.)); +#26149=DIRECTION('ref_axis',(0.,0.,1.)); +#26150=DIRECTION('',(0.,-1.,0.)); +#26151=DIRECTION('',(0.,0.,1.)); +#26152=DIRECTION('center_axis',(-1.,0.,0.)); +#26153=DIRECTION('ref_axis',(0.,0.,1.)); +#26154=DIRECTION('',(0.,0.,1.)); +#26155=DIRECTION('',(0.,-1.,0.)); +#26156=DIRECTION('center_axis',(-1.,0.,0.)); +#26157=DIRECTION('ref_axis',(0.,0.,1.)); +#26158=DIRECTION('',(0.,0.,1.)); +#26159=DIRECTION('',(0.,-1.,0.)); +#26160=DIRECTION('center_axis',(-1.,0.,0.)); +#26161=DIRECTION('ref_axis',(0.,0.,1.)); +#26162=DIRECTION('',(0.,-1.,0.)); +#26163=DIRECTION('',(0.,0.,1.)); +#26164=DIRECTION('center_axis',(-1.,0.,0.)); +#26165=DIRECTION('ref_axis',(0.,0.,1.)); +#26166=DIRECTION('',(0.,0.,1.)); +#26167=DIRECTION('',(0.,-1.,0.)); +#26168=DIRECTION('center_axis',(-1.,0.,0.)); +#26169=DIRECTION('ref_axis',(0.,0.,1.)); +#26170=DIRECTION('',(0.,-1.,0.)); +#26171=DIRECTION('',(0.,0.,1.)); +#26172=DIRECTION('center_axis',(1.,0.,0.)); +#26173=DIRECTION('ref_axis',(0.,0.,-1.)); +#26174=DIRECTION('',(0.,-1.,0.)); +#26175=DIRECTION('',(0.,1.,0.)); +#26176=DIRECTION('',(0.,0.,-1.)); +#26177=DIRECTION('center_axis',(1.,0.,0.)); +#26178=DIRECTION('ref_axis',(0.,0.,-1.)); +#26179=DIRECTION('',(0.,0.,-1.)); +#26180=DIRECTION('',(0.,1.,0.)); +#26181=DIRECTION('center_axis',(1.,0.,0.)); +#26182=DIRECTION('ref_axis',(0.,0.,-1.)); +#26183=DIRECTION('',(0.,0.,-1.)); +#26184=DIRECTION('',(0.,-1.,0.)); +#26185=DIRECTION('center_axis',(1.,0.,0.)); +#26186=DIRECTION('ref_axis',(0.,0.,-1.)); +#26187=DIRECTION('',(0.,-1.,0.)); +#26188=DIRECTION('',(0.,0.,-1.)); +#26189=DIRECTION('center_axis',(1.,0.,0.)); +#26190=DIRECTION('ref_axis',(0.,0.,-1.)); +#26191=DIRECTION('',(0.,-1.,0.)); +#26192=DIRECTION('',(0.,0.,-1.)); +#26193=DIRECTION('center_axis',(1.,0.,0.)); +#26194=DIRECTION('ref_axis',(0.,0.,-1.)); +#26195=DIRECTION('',(0.,-1.,0.)); +#26196=DIRECTION('',(0.,0.,-1.)); +#26197=DIRECTION('center_axis',(1.,0.,0.)); +#26198=DIRECTION('ref_axis',(0.,0.,-1.)); +#26199=DIRECTION('',(0.,0.,-1.)); +#26200=DIRECTION('',(0.,-1.,0.)); +#26201=DIRECTION('center_axis',(1.,0.,0.)); +#26202=DIRECTION('ref_axis',(0.,0.,-1.)); +#26203=DIRECTION('',(0.,-1.,0.)); +#26204=DIRECTION('',(0.,0.,-1.)); +#26205=DIRECTION('center_axis',(0.,0.,1.)); +#26206=DIRECTION('ref_axis',(-1.,0.,0.)); +#26207=DIRECTION('',(-1.,0.,0.)); +#26208=DIRECTION('',(0.,-1.,0.)); +#26209=DIRECTION('',(-1.,0.,0.)); +#26210=DIRECTION('center_axis',(0.,-1.,0.)); +#26211=DIRECTION('ref_axis',(0.,0.,1.)); +#26212=DIRECTION('center_axis',(0.,-1.,0.)); +#26213=DIRECTION('ref_axis',(0.,0.,1.)); +#26214=DIRECTION('center_axis',(0.,-1.,0.)); +#26215=DIRECTION('ref_axis',(0.,0.,1.)); +#26216=DIRECTION('center_axis',(0.,1.,0.)); +#26217=DIRECTION('ref_axis',(-1.,0.,0.)); +#26218=DIRECTION('center_axis',(0.,0.,1.)); +#26219=DIRECTION('ref_axis',(-1.,0.,0.)); +#26220=DIRECTION('',(-1.,0.,0.)); +#26221=DIRECTION('',(0.,-1.,0.)); +#26222=DIRECTION('',(-1.,0.,0.)); +#26223=DIRECTION('center_axis',(0.,-1.,0.)); +#26224=DIRECTION('ref_axis',(0.,0.,1.)); +#26225=DIRECTION('center_axis',(0.,-1.,0.)); +#26226=DIRECTION('ref_axis',(0.,0.,1.)); +#26227=DIRECTION('center_axis',(0.,-1.,0.)); +#26228=DIRECTION('ref_axis',(0.,0.,1.)); +#26229=DIRECTION('center_axis',(0.,1.,0.)); +#26230=DIRECTION('ref_axis',(-1.,0.,0.)); +#26231=DIRECTION('center_axis',(0.,0.,-1.)); +#26232=DIRECTION('ref_axis',(1.,0.,0.)); +#26233=DIRECTION('',(1.,0.,0.)); +#26234=DIRECTION('',(0.,-1.,0.)); +#26235=DIRECTION('',(1.,0.,0.)); +#26236=DIRECTION('center_axis',(0.,-1.,0.)); +#26237=DIRECTION('ref_axis',(0.,0.,-1.)); +#26238=DIRECTION('center_axis',(0.,-1.,0.)); +#26239=DIRECTION('ref_axis',(0.,0.,-1.)); +#26240=DIRECTION('center_axis',(0.,-1.,0.)); +#26241=DIRECTION('ref_axis',(0.,0.,-1.)); +#26242=DIRECTION('center_axis',(0.,1.,0.)); +#26243=DIRECTION('ref_axis',(-1.,0.,0.)); +#26244=DIRECTION('center_axis',(0.,0.,-1.)); +#26245=DIRECTION('ref_axis',(1.,0.,0.)); +#26246=DIRECTION('',(1.,0.,0.)); +#26247=DIRECTION('',(0.,-1.,0.)); +#26248=DIRECTION('',(1.,0.,0.)); +#26249=DIRECTION('center_axis',(0.,-1.,0.)); +#26250=DIRECTION('ref_axis',(0.,0.,-1.)); +#26251=DIRECTION('center_axis',(0.,-1.,0.)); +#26252=DIRECTION('ref_axis',(0.,0.,-1.)); +#26253=DIRECTION('center_axis',(0.,-1.,0.)); +#26254=DIRECTION('ref_axis',(0.,0.,-1.)); +#26255=DIRECTION('center_axis',(0.,1.,0.)); +#26256=DIRECTION('ref_axis',(-1.,0.,0.)); +#26257=DIRECTION('center_axis',(0.,-1.,0.)); +#26258=DIRECTION('ref_axis',(0.,0.,-1.)); +#26259=DIRECTION('center_axis',(0.,-1.,0.)); +#26260=DIRECTION('ref_axis',(0.,0.,-1.)); +#26261=DIRECTION('center_axis',(0.,-1.,0.)); +#26262=DIRECTION('ref_axis',(0.,0.,-1.)); +#26263=DIRECTION('',(0.,-1.,0.)); +#26264=DIRECTION('center_axis',(0.,0.,-1.)); +#26265=DIRECTION('ref_axis',(1.,0.,0.)); +#26266=DIRECTION('',(1.,0.,0.)); +#26267=DIRECTION('',(1.,0.,0.)); +#26268=DIRECTION('center_axis',(0.,1.,0.)); +#26269=DIRECTION('ref_axis',(-1.,0.,0.)); +#26270=DIRECTION('center_axis',(0.,0.,-1.)); +#26271=DIRECTION('ref_axis',(1.,0.,0.)); +#26272=DIRECTION('',(1.,0.,0.)); +#26273=DIRECTION('',(0.,-1.,0.)); +#26274=DIRECTION('',(1.,0.,0.)); +#26275=DIRECTION('center_axis',(0.,-1.,0.)); +#26276=DIRECTION('ref_axis',(0.,0.,-1.)); +#26277=DIRECTION('center_axis',(0.,-1.,0.)); +#26278=DIRECTION('ref_axis',(0.,0.,-1.)); +#26279=DIRECTION('center_axis',(0.,-1.,0.)); +#26280=DIRECTION('ref_axis',(0.,0.,-1.)); +#26281=DIRECTION('center_axis',(0.,1.,0.)); +#26282=DIRECTION('ref_axis',(-1.,0.,0.)); +#26283=DIRECTION('center_axis',(0.,0.,1.)); +#26284=DIRECTION('ref_axis',(-1.,0.,0.)); +#26285=DIRECTION('',(-1.,0.,0.)); +#26286=DIRECTION('',(0.,-1.,0.)); +#26287=DIRECTION('',(-1.,0.,0.)); +#26288=DIRECTION('center_axis',(0.,-1.,0.)); +#26289=DIRECTION('ref_axis',(0.,0.,1.)); +#26290=DIRECTION('center_axis',(0.,-1.,0.)); +#26291=DIRECTION('ref_axis',(0.,0.,1.)); +#26292=DIRECTION('center_axis',(0.,-1.,0.)); +#26293=DIRECTION('ref_axis',(0.,0.,1.)); +#26294=DIRECTION('center_axis',(0.,1.,0.)); +#26295=DIRECTION('ref_axis',(-1.,0.,0.)); +#26296=DIRECTION('center_axis',(0.,0.,1.)); +#26297=DIRECTION('ref_axis',(-1.,0.,0.)); +#26298=DIRECTION('',(-1.,0.,0.)); +#26299=DIRECTION('',(0.,-1.,0.)); +#26300=DIRECTION('',(-1.,0.,0.)); +#26301=DIRECTION('center_axis',(0.,-1.,0.)); +#26302=DIRECTION('ref_axis',(0.,0.,1.)); +#26303=DIRECTION('center_axis',(0.,-1.,0.)); +#26304=DIRECTION('ref_axis',(0.,0.,1.)); +#26305=DIRECTION('center_axis',(0.,-1.,0.)); +#26306=DIRECTION('ref_axis',(0.,0.,1.)); +#26307=DIRECTION('center_axis',(0.,1.,0.)); +#26308=DIRECTION('ref_axis',(-1.,0.,0.)); +#26309=DIRECTION('center_axis',(0.,0.,1.)); +#26310=DIRECTION('ref_axis',(-1.,0.,0.)); +#26311=DIRECTION('',(-1.,0.,0.)); +#26312=DIRECTION('',(0.,-1.,0.)); +#26313=DIRECTION('',(-1.,0.,0.)); +#26314=DIRECTION('center_axis',(0.,-1.,0.)); +#26315=DIRECTION('ref_axis',(0.,0.,1.)); +#26316=DIRECTION('center_axis',(0.,-1.,0.)); +#26317=DIRECTION('ref_axis',(0.,0.,1.)); +#26318=DIRECTION('center_axis',(0.,-1.,0.)); +#26319=DIRECTION('ref_axis',(0.,0.,1.)); +#26320=DIRECTION('center_axis',(0.,1.,0.)); +#26321=DIRECTION('ref_axis',(-1.,0.,0.)); +#26322=DIRECTION('center_axis',(0.,-1.,0.)); +#26323=DIRECTION('ref_axis',(0.,0.,1.)); +#26324=DIRECTION('center_axis',(0.,-1.,0.)); +#26325=DIRECTION('ref_axis',(0.,0.,1.)); +#26326=DIRECTION('center_axis',(0.,-1.,0.)); +#26327=DIRECTION('ref_axis',(0.,0.,1.)); +#26328=DIRECTION('center_axis',(0.,1.,0.)); +#26329=DIRECTION('ref_axis',(-1.,0.,0.)); +#26330=DIRECTION('center_axis',(0.,0.,-1.)); +#26331=DIRECTION('ref_axis',(1.,0.,0.)); +#26332=DIRECTION('',(1.,0.,0.)); +#26333=DIRECTION('',(0.,-1.,0.)); +#26334=DIRECTION('',(1.,0.,0.)); +#26335=DIRECTION('center_axis',(0.,-1.,0.)); +#26336=DIRECTION('ref_axis',(0.,0.,-1.)); +#26337=DIRECTION('center_axis',(0.,-1.,0.)); +#26338=DIRECTION('ref_axis',(0.,0.,-1.)); +#26339=DIRECTION('center_axis',(0.,-1.,0.)); +#26340=DIRECTION('ref_axis',(0.,0.,-1.)); +#26341=DIRECTION('center_axis',(0.,1.,0.)); +#26342=DIRECTION('ref_axis',(-1.,0.,0.)); +#26343=DIRECTION('center_axis',(0.,0.,-1.)); +#26344=DIRECTION('ref_axis',(1.,0.,0.)); +#26345=DIRECTION('',(1.,0.,0.)); +#26346=DIRECTION('',(0.,-1.,0.)); +#26347=DIRECTION('',(1.,0.,0.)); +#26348=DIRECTION('center_axis',(0.,-1.,0.)); +#26349=DIRECTION('ref_axis',(0.,0.,-1.)); +#26350=DIRECTION('center_axis',(0.,-1.,0.)); +#26351=DIRECTION('ref_axis',(0.,0.,-1.)); +#26352=DIRECTION('center_axis',(0.,-1.,0.)); +#26353=DIRECTION('ref_axis',(0.,0.,-1.)); +#26354=DIRECTION('center_axis',(0.,1.,0.)); +#26355=DIRECTION('ref_axis',(-1.,0.,0.)); +#26356=DIRECTION('center_axis',(0.,0.,-1.)); +#26357=DIRECTION('ref_axis',(1.,0.,0.)); +#26358=DIRECTION('',(0.,-1.,0.)); +#26359=DIRECTION('',(1.,0.,0.)); +#26360=DIRECTION('',(0.,-1.,0.)); +#26361=DIRECTION('',(1.,0.,0.)); +#26362=DIRECTION('center_axis',(0.,-1.,0.)); +#26363=DIRECTION('ref_axis',(0.,0.,-1.)); +#26364=DIRECTION('center_axis',(0.,-1.,0.)); +#26365=DIRECTION('ref_axis',(0.,0.,-1.)); +#26366=DIRECTION('center_axis',(0.,-1.,0.)); +#26367=DIRECTION('ref_axis',(0.,0.,-1.)); +#26368=DIRECTION('center_axis',(0.,1.,0.)); +#26369=DIRECTION('ref_axis',(-1.,0.,0.)); +#26370=DIRECTION('center_axis',(0.,-1.,0.)); +#26371=DIRECTION('ref_axis',(0.,0.,-1.)); +#26372=DIRECTION('center_axis',(0.,-1.,0.)); +#26373=DIRECTION('ref_axis',(0.,0.,-1.)); +#26374=DIRECTION('center_axis',(0.,-1.,0.)); +#26375=DIRECTION('ref_axis',(0.,0.,-1.)); +#26376=DIRECTION('',(0.,-1.,0.)); +#26377=DIRECTION('center_axis',(0.,0.,-1.)); +#26378=DIRECTION('ref_axis',(1.,0.,0.)); +#26379=DIRECTION('',(1.,0.,0.)); +#26380=DIRECTION('',(1.,0.,0.)); +#26381=DIRECTION('center_axis',(0.,1.,0.)); +#26382=DIRECTION('ref_axis',(-1.,0.,0.)); +#26383=DIRECTION('center_axis',(0.,0.,1.)); +#26384=DIRECTION('ref_axis',(-1.,0.,0.)); +#26385=DIRECTION('',(-1.,0.,0.)); +#26386=DIRECTION('',(0.,-1.,0.)); +#26387=DIRECTION('',(-1.,0.,0.)); +#26388=DIRECTION('center_axis',(0.,-1.,0.)); +#26389=DIRECTION('ref_axis',(0.,0.,1.)); +#26390=DIRECTION('center_axis',(0.,-1.,0.)); +#26391=DIRECTION('ref_axis',(0.,0.,1.)); +#26392=DIRECTION('center_axis',(0.,-1.,0.)); +#26393=DIRECTION('ref_axis',(0.,0.,1.)); +#26394=DIRECTION('center_axis',(0.,1.,0.)); +#26395=DIRECTION('ref_axis',(-1.,0.,0.)); +#26396=DIRECTION('center_axis',(0.,0.,1.)); +#26397=DIRECTION('ref_axis',(-1.,0.,0.)); +#26398=DIRECTION('',(0.,-1.,0.)); +#26399=DIRECTION('',(-1.,0.,0.)); +#26400=DIRECTION('',(0.,-1.,0.)); +#26401=DIRECTION('',(-1.,0.,0.)); +#26402=DIRECTION('center_axis',(0.,-1.,0.)); +#26403=DIRECTION('ref_axis',(0.,0.,1.)); +#26404=DIRECTION('center_axis',(0.,-1.,0.)); +#26405=DIRECTION('ref_axis',(0.,0.,1.)); +#26406=DIRECTION('center_axis',(0.,-1.,0.)); +#26407=DIRECTION('ref_axis',(0.,0.,1.)); +#26408=DIRECTION('center_axis',(0.,1.,0.)); +#26409=DIRECTION('ref_axis',(-1.,0.,0.)); +#26410=DIRECTION('center_axis',(0.,1.,0.)); +#26411=DIRECTION('ref_axis',(0.,0.,1.)); +#26412=DIRECTION('',(1.,0.,0.)); +#26413=DIRECTION('',(0.,0.,-1.)); +#26414=DIRECTION('',(-1.,0.,0.)); +#26415=DIRECTION('',(0.,0.,1.)); +#26416=DIRECTION('center_axis',(-1.,0.,0.)); +#26417=DIRECTION('ref_axis',(0.,0.,1.)); +#26418=DIRECTION('center_axis',(0.,0.,-1.)); +#26419=DIRECTION('ref_axis',(-1.,0.,0.)); +#26420=DIRECTION('center_axis',(1.,0.,0.)); +#26421=DIRECTION('ref_axis',(0.,0.,-1.)); +#26422=DIRECTION('center_axis',(0.,0.,1.)); +#26423=DIRECTION('ref_axis',(1.,0.,0.)); +#26424=DIRECTION('',(1.45716771982052E-16,1.,-2.08166817117216E-16)); +#26425=DIRECTION('',(-1.38777878078145E-15,1.59594559789866E-16,1.)); +#26426=DIRECTION('',(0.999999999999993,-8.11761795821327E-16,-1.46362518610156E-15)); +#26427=DIRECTION('',(-2.76075721979867E-15,2.21617045073986E-15,-1.)); +#26428=DIRECTION('',(1.3651290811636E-19,1.0810157262597E-20,1.)); +#26429=DIRECTION('',(1.,0.,0.)); +#26430=DIRECTION('',(1.3651290811636E-19,1.0810157262597E-20,1.)); +#26431=DIRECTION('',(1.,0.,0.)); +#26432=DIRECTION('',(1.3651290811636E-19,1.0810157262597E-20,1.)); +#26433=DIRECTION('',(1.,0.,0.)); +#26434=DIRECTION('',(1.,1.0810157262597E-20,-6.5225602696728E-16)); +#26435=DIRECTION('',(-6.5225602696728E-16,-1.28773175316528E-48,-1.)); +#26436=DIRECTION('',(1.,1.08147067559661E-20,-6.5225602696728E-16)); +#26437=DIRECTION('',(-6.5225602696728E-16,-2.8698620907391E-41,-1.)); +#26438=DIRECTION('',(1.,1.0853584244756E-20,-6.5225602696728E-16)); +#26439=DIRECTION('',(-6.5225602696728E-16,-4.13260129426469E-40,-1.)); +#26440=DIRECTION('',(1.,1.0810157262597E-20,-6.5225602696728E-16)); +#26441=DIRECTION('',(-6.5225602696728E-16,-5.73972446199293E-40,-1.)); +#26442=DIRECTION('',(1.,1.0810157262597E-20,-6.5225602696728E-16)); +#26443=DIRECTION('',(-6.5225602696728E-16,-7.80607669336262E-40,-1.)); +#26444=DIRECTION('',(1.3651290811636E-19,1.0810157262597E-20,1.)); +#26445=DIRECTION('',(1.,0.,0.)); +#26446=DIRECTION('',(1.3651290811636E-19,1.0810157262597E-20,1.)); +#26447=DIRECTION('',(1.,0.,0.)); +#26448=DIRECTION('',(1.3651290811636E-19,1.0810157262597E-20,1.)); +#26449=DIRECTION('',(1.,0.,0.)); +#26450=DIRECTION('',(1.3651290811636E-19,1.0810157262597E-20,1.)); +#26451=DIRECTION('',(1.,0.,0.)); +#26452=DIRECTION('',(-1.,1.0810157262597E-20,7.63278329429796E-16)); +#26453=DIRECTION('',(7.63278329429795E-16,-1.88079096131608E-37,1.)); +#26454=DIRECTION('',(-1.,1.0810157262597E-20,7.63278329429796E-16)); +#26455=DIRECTION('',(7.63278329429795E-16,-1.88079096131759E-37,1.)); +#26456=DIRECTION('',(-1.,1.08345590906673E-20,7.63278329429796E-16)); +#26457=DIRECTION('',(7.63278329429796E-16,-1.8797004148265E-37,1.)); +#26458=DIRECTION('',(-1.,1.08345590906673E-20,7.63278329429796E-16)); +#26459=DIRECTION('',(7.63278329429796E-16,-1.87315713589E-37,1.)); +#26460=DIRECTION('',(-3.6154600726424E-17,-1.98921072365074E-17,1.)); +#26461=DIRECTION('',(1.,0.,-5.55111512312578E-17)); +#26462=DIRECTION('',(-1.,-1.98920906928952E-17,-3.88578058618805E-16)); +#26463=DIRECTION('',(-1.2490009027033E-16,-6.88766207500378E-40,1.)); +#26464=DIRECTION('',(-1.,-1.98920906928952E-17,-3.88578058618805E-16)); +#26465=DIRECTION('',(-1.2490009027033E-16,-6.88766207500378E-40,1.)); +#26466=DIRECTION('',(-1.,-1.98920758036441E-17,-3.88578058618805E-16)); +#26467=DIRECTION('',(-1.2490009027033E-16,-4.82136282985538E-40,1.)); +#26468=DIRECTION('',(3.10269057154495E-19,1.39013706318047E-20,1.)); +#26469=DIRECTION('',(1.,-1.38777878078145E-17,0.)); +#26470=DIRECTION('',(3.10269057154495E-19,1.39013706318047E-20,1.)); +#26471=DIRECTION('',(1.,-1.38777878078145E-17,0.)); +#26472=DIRECTION('',(1.,6.95279527453904E-18,5.41233724504764E-16)); +#26473=DIRECTION('',(6.93889390390723E-16,-6.93889390390723E-18,-1.)); +#26474=DIRECTION('',(3.10269057154495E-19,1.39013706318047E-20,1.)); +#26475=DIRECTION('',(1.,-1.38777878078145E-17,0.)); +#26476=DIRECTION('',(1.,6.95273695830578E-18,5.41233724504764E-16)); +#26477=DIRECTION('',(6.93889390390724E-16,-6.93889390390723E-18,-1.)); +#26478=DIRECTION('',(2.46519032881566E-32,4.7477838728799E-65,1.)); +#26479=DIRECTION('',(1.,3.08148791101958E-33,5.55111512312579E-17)); +#26480=DIRECTION('',(-4.01151279591593E-17,-1.02229475700196E-15,0.999999999999999)); +#26481=DIRECTION('',(1.,-6.10622663543836E-16,-4.31408307542737E-32)); +#26482=DIRECTION('',(-1.,4.76506326241999E-16,-1.79023462720806E-15)); +#26483=DIRECTION('',(-1.84574577843932E-15,-1.49880108324396E-15,1.)); +#26484=DIRECTION('',(-0.999999999999999,4.30677576119871E-15,-1.79023462720806E-15)); +#26485=DIRECTION('',(-1.84574577843932E-15,-1.49880108324396E-15,1.)); +#26486=DIRECTION('',(-0.999999999999999,4.30677576119871E-15,-1.79023462720805E-15)); +#26487=DIRECTION('',(-1.8457457784393E-15,2.4980018054064E-15,1.)); +#26488=DIRECTION('',(-4.01151279591618E-17,-2.02149547916459E-15,0.999999999999998)); +#26489=DIRECTION('',(1.,-1.33226762955019E-15,-8.01186856865081E-31)); +#26490=DIRECTION('',(1.,-5.55111512312567E-17,6.10622663543836E-16)); +#26491=DIRECTION('',(6.10622663543836E-16,1.11022302462516E-16,-1.)); +#26492=DIRECTION('center_axis',(0.,0.,1.)); +#26493=DIRECTION('ref_axis',(1.,0.,0.)); +#26494=CARTESIAN_POINT('',(0.,0.,0.)); +#26495=CARTESIAN_POINT('',(0.,0.,0.)); +#26496=CARTESIAN_POINT('Origin',(-2.67,-2.5,0.01)); +#26497=CARTESIAN_POINT('',(-2.67,-2.5,0.01)); +#26498=CARTESIAN_POINT('',(3.33,-2.5,0.01)); +#26499=CARTESIAN_POINT('',(-2.67,-2.5,0.01)); +#26500=CARTESIAN_POINT('',(3.33,-2.5,0.85375)); +#26501=CARTESIAN_POINT('',(3.33,-2.5,0.01)); +#26502=CARTESIAN_POINT('',(-2.67,-2.5,0.85375)); +#26503=CARTESIAN_POINT('',(3.33,-2.5,0.85375)); +#26504=CARTESIAN_POINT('',(-2.67,-2.5,0.85375)); +#26505=CARTESIAN_POINT('',(0.75,-2.5,0.0521875)); +#26506=CARTESIAN_POINT('',(0.75,-2.5,0.17875)); +#26507=CARTESIAN_POINT('',(0.75,-2.5,0.0521875)); +#26508=CARTESIAN_POINT('',(1.11,-2.5,0.17875)); +#26509=CARTESIAN_POINT('',(0.75,-2.5,0.17875)); +#26510=CARTESIAN_POINT('',(1.11,-2.5,0.0521875)); +#26511=CARTESIAN_POINT('',(1.11,-2.5,0.17875)); +#26512=CARTESIAN_POINT('',(1.11,-2.5,0.0521875)); +#26513=CARTESIAN_POINT('',(1.35,-2.5,0.0521875)); +#26514=CARTESIAN_POINT('',(1.35,-2.5,0.17875)); +#26515=CARTESIAN_POINT('',(1.35,-2.5,0.0521875)); +#26516=CARTESIAN_POINT('',(1.71,-2.5,0.17875)); +#26517=CARTESIAN_POINT('',(1.35,-2.5,0.17875)); +#26518=CARTESIAN_POINT('',(1.71,-2.5,0.0521875)); +#26519=CARTESIAN_POINT('',(1.71,-2.5,0.17875)); +#26520=CARTESIAN_POINT('',(1.71,-2.5,0.0521875)); +#26521=CARTESIAN_POINT('',(1.95,-2.5,0.0521875)); +#26522=CARTESIAN_POINT('',(1.95,-2.5,0.17875)); +#26523=CARTESIAN_POINT('',(1.95,-2.5,0.0521875)); +#26524=CARTESIAN_POINT('',(2.31,-2.5,0.17875)); +#26525=CARTESIAN_POINT('',(1.95,-2.5,0.17875)); +#26526=CARTESIAN_POINT('',(2.31,-2.5,0.0521875)); +#26527=CARTESIAN_POINT('',(2.31,-2.5,0.17875)); +#26528=CARTESIAN_POINT('',(2.31,-2.5,0.0521875)); +#26529=CARTESIAN_POINT('',(0.15,-2.5,0.0521875)); +#26530=CARTESIAN_POINT('',(0.15,-2.5,0.17875)); +#26531=CARTESIAN_POINT('',(0.15,-2.5,0.0521875)); +#26532=CARTESIAN_POINT('',(0.51,-2.5,0.17875)); +#26533=CARTESIAN_POINT('',(0.15,-2.5,0.17875)); +#26534=CARTESIAN_POINT('',(0.51,-2.5,0.0521875)); +#26535=CARTESIAN_POINT('',(0.51,-2.5,0.17875)); +#26536=CARTESIAN_POINT('',(0.51,-2.5,0.0521875)); +#26537=CARTESIAN_POINT('',(-0.45,-2.5,0.0521875)); +#26538=CARTESIAN_POINT('',(-0.45,-2.5,0.17875)); +#26539=CARTESIAN_POINT('',(-0.45,-2.5,0.0521875)); +#26540=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.17875)); +#26541=CARTESIAN_POINT('',(-0.45,-2.5,0.17875)); +#26542=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.0521875)); +#26543=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.17875)); +#26544=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.0521875)); +#26545=CARTESIAN_POINT('',(-1.05,-2.5,0.0521875)); +#26546=CARTESIAN_POINT('',(-1.05,-2.5,0.17875)); +#26547=CARTESIAN_POINT('',(-1.05,-2.5,0.0521875)); +#26548=CARTESIAN_POINT('',(-0.69,-2.5,0.17875)); +#26549=CARTESIAN_POINT('',(-1.05,-2.5,0.17875)); +#26550=CARTESIAN_POINT('',(-0.69,-2.5,0.0521875)); +#26551=CARTESIAN_POINT('',(-0.69,-2.5,0.17875)); +#26552=CARTESIAN_POINT('',(-0.69,-2.5,0.0521875)); +#26553=CARTESIAN_POINT('',(-1.65,-2.5,0.0521875)); +#26554=CARTESIAN_POINT('',(-1.65,-2.5,0.17875)); +#26555=CARTESIAN_POINT('',(-1.65,-2.5,0.0521875)); +#26556=CARTESIAN_POINT('',(-1.29,-2.5,0.17875)); +#26557=CARTESIAN_POINT('',(-1.65,-2.5,0.17875)); +#26558=CARTESIAN_POINT('',(-1.29,-2.5,0.0521875)); +#26559=CARTESIAN_POINT('',(-1.29,-2.5,0.17875)); +#26560=CARTESIAN_POINT('',(-1.29,-2.5,0.0521875)); +#26561=CARTESIAN_POINT('Origin',(3.33,-2.5,0.01)); +#26562=CARTESIAN_POINT('',(3.33,3.5,0.01)); +#26563=CARTESIAN_POINT('',(3.33,-2.5,0.01)); +#26564=CARTESIAN_POINT('',(3.33,3.5,0.85375)); +#26565=CARTESIAN_POINT('',(3.33,3.5,0.01)); +#26566=CARTESIAN_POINT('',(3.33,3.5,0.85375)); +#26567=CARTESIAN_POINT('',(3.33,0.92,0.0521875)); +#26568=CARTESIAN_POINT('',(3.33,0.92,0.17875)); +#26569=CARTESIAN_POINT('',(3.33,0.92,0.0521875)); +#26570=CARTESIAN_POINT('',(3.33,1.28,0.17875)); +#26571=CARTESIAN_POINT('',(3.33,0.92,0.17875)); +#26572=CARTESIAN_POINT('',(3.33,1.28,0.0521875)); +#26573=CARTESIAN_POINT('',(3.33,1.28,0.17875)); +#26574=CARTESIAN_POINT('',(3.33,1.28,0.0521875)); +#26575=CARTESIAN_POINT('',(3.33,1.52,0.0521875)); +#26576=CARTESIAN_POINT('',(3.33,1.52,0.17875)); +#26577=CARTESIAN_POINT('',(3.33,1.52,0.0521875)); +#26578=CARTESIAN_POINT('',(3.33,1.88,0.17875)); +#26579=CARTESIAN_POINT('',(3.33,1.52,0.17875)); +#26580=CARTESIAN_POINT('',(3.33,1.88,0.0521875)); +#26581=CARTESIAN_POINT('',(3.33,1.88,0.17875)); +#26582=CARTESIAN_POINT('',(3.33,1.88,0.0521875)); +#26583=CARTESIAN_POINT('',(3.33,2.12,0.0521875)); +#26584=CARTESIAN_POINT('',(3.33,2.12,0.17875)); +#26585=CARTESIAN_POINT('',(3.33,2.12,0.0521875)); +#26586=CARTESIAN_POINT('',(3.33,2.48,0.17875)); +#26587=CARTESIAN_POINT('',(3.33,2.12,0.17875)); +#26588=CARTESIAN_POINT('',(3.33,2.48,0.0521875)); +#26589=CARTESIAN_POINT('',(3.33,2.48,0.17875)); +#26590=CARTESIAN_POINT('',(3.33,2.48,0.0521875)); +#26591=CARTESIAN_POINT('',(3.33,0.32,0.0521875)); +#26592=CARTESIAN_POINT('',(3.33,0.32,0.17875)); +#26593=CARTESIAN_POINT('',(3.33,0.32,0.0521875)); +#26594=CARTESIAN_POINT('',(3.33,0.68,0.17875)); +#26595=CARTESIAN_POINT('',(3.33,0.32,0.17875)); +#26596=CARTESIAN_POINT('',(3.33,0.68,0.0521875)); +#26597=CARTESIAN_POINT('',(3.33,0.68,0.17875)); +#26598=CARTESIAN_POINT('',(3.33,0.68,0.0521875)); +#26599=CARTESIAN_POINT('',(3.33,-0.28,0.0521875)); +#26600=CARTESIAN_POINT('',(3.33,-0.28,0.17875)); +#26601=CARTESIAN_POINT('',(3.33,-0.28,0.0521875)); +#26602=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.17875)); +#26603=CARTESIAN_POINT('',(3.33,-0.28,0.17875)); +#26604=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.0521875)); +#26605=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.17875)); +#26606=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.0521875)); +#26607=CARTESIAN_POINT('',(3.33,-0.88,0.0521875)); +#26608=CARTESIAN_POINT('',(3.33,-0.88,0.17875)); +#26609=CARTESIAN_POINT('',(3.33,-0.88,0.0521875)); +#26610=CARTESIAN_POINT('',(3.33,-0.52,0.17875)); +#26611=CARTESIAN_POINT('',(3.33,-0.88,0.17875)); +#26612=CARTESIAN_POINT('',(3.33,-0.52,0.0521875)); +#26613=CARTESIAN_POINT('',(3.33,-0.52,0.17875)); +#26614=CARTESIAN_POINT('',(3.33,-0.52,0.0521875)); +#26615=CARTESIAN_POINT('',(3.33,-1.48,0.0521875)); +#26616=CARTESIAN_POINT('',(3.33,-1.48,0.17875)); +#26617=CARTESIAN_POINT('',(3.33,-1.48,0.0521875)); +#26618=CARTESIAN_POINT('',(3.33,-1.12,0.17875)); +#26619=CARTESIAN_POINT('',(3.33,-1.48,0.17875)); +#26620=CARTESIAN_POINT('',(3.33,-1.12,0.0521875)); +#26621=CARTESIAN_POINT('',(3.33,-1.12,0.17875)); +#26622=CARTESIAN_POINT('',(3.33,-1.12,0.0521875)); +#26623=CARTESIAN_POINT('Origin',(3.33,3.5,0.01)); +#26624=CARTESIAN_POINT('',(-2.67,3.5,0.01)); +#26625=CARTESIAN_POINT('',(3.33,3.5,0.01)); +#26626=CARTESIAN_POINT('',(-2.67,3.5,0.85375)); +#26627=CARTESIAN_POINT('',(-2.67,3.5,0.01)); +#26628=CARTESIAN_POINT('',(-2.67,3.5,0.85375)); +#26629=CARTESIAN_POINT('',(0.51,3.5,0.0521875)); +#26630=CARTESIAN_POINT('',(0.51,3.5,0.17875)); +#26631=CARTESIAN_POINT('',(0.51,3.5,0.0521875)); +#26632=CARTESIAN_POINT('',(0.15,3.5,0.17875)); +#26633=CARTESIAN_POINT('',(0.51,3.5,0.17875)); +#26634=CARTESIAN_POINT('',(0.15,3.5,0.0521875)); +#26635=CARTESIAN_POINT('',(0.15,3.5,0.17875)); +#26636=CARTESIAN_POINT('',(0.15,3.5,0.0521875)); +#26637=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.0521875)); +#26638=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.17875)); +#26639=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.0521875)); +#26640=CARTESIAN_POINT('',(-0.45,3.5,0.17875)); +#26641=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.17875)); +#26642=CARTESIAN_POINT('',(-0.45,3.5,0.0521875)); +#26643=CARTESIAN_POINT('',(-0.45,3.5,0.17875)); +#26644=CARTESIAN_POINT('',(-0.45,3.5,0.0521875)); +#26645=CARTESIAN_POINT('',(-0.69,3.5,0.0521875)); +#26646=CARTESIAN_POINT('',(-0.69,3.5,0.17875)); +#26647=CARTESIAN_POINT('',(-0.69,3.5,0.0521875)); +#26648=CARTESIAN_POINT('',(-1.05,3.5,0.17875)); +#26649=CARTESIAN_POINT('',(-0.69,3.5,0.17875)); +#26650=CARTESIAN_POINT('',(-1.05,3.5,0.0521875)); +#26651=CARTESIAN_POINT('',(-1.05,3.5,0.17875)); +#26652=CARTESIAN_POINT('',(-1.05,3.5,0.0521875)); +#26653=CARTESIAN_POINT('',(-1.29,3.5,0.0521875)); +#26654=CARTESIAN_POINT('',(-1.29,3.5,0.17875)); +#26655=CARTESIAN_POINT('',(-1.29,3.5,0.0521875)); +#26656=CARTESIAN_POINT('',(-1.65,3.5,0.17875)); +#26657=CARTESIAN_POINT('',(-1.29,3.5,0.17875)); +#26658=CARTESIAN_POINT('',(-1.65,3.5,0.0521875)); +#26659=CARTESIAN_POINT('',(-1.65,3.5,0.17875)); +#26660=CARTESIAN_POINT('',(-1.65,3.5,0.0521875)); +#26661=CARTESIAN_POINT('',(1.11,3.5,0.0521875)); +#26662=CARTESIAN_POINT('',(1.11,3.5,0.17875)); +#26663=CARTESIAN_POINT('',(1.11,3.5,0.0521875)); +#26664=CARTESIAN_POINT('',(0.75,3.5,0.17875)); +#26665=CARTESIAN_POINT('',(1.11,3.5,0.17875)); +#26666=CARTESIAN_POINT('',(0.75,3.5,0.0521875)); +#26667=CARTESIAN_POINT('',(0.75,3.5,0.17875)); +#26668=CARTESIAN_POINT('',(0.75,3.5,0.0521875)); +#26669=CARTESIAN_POINT('',(1.71,3.5,0.0521875)); +#26670=CARTESIAN_POINT('',(1.71,3.5,0.17875)); +#26671=CARTESIAN_POINT('',(1.71,3.5,0.0521875)); +#26672=CARTESIAN_POINT('',(1.35,3.5,0.17875)); +#26673=CARTESIAN_POINT('',(1.71,3.5,0.17875)); +#26674=CARTESIAN_POINT('',(1.35,3.5,0.0521875)); +#26675=CARTESIAN_POINT('',(1.35,3.5,0.17875)); +#26676=CARTESIAN_POINT('',(1.35,3.5,0.0521875)); +#26677=CARTESIAN_POINT('',(2.31,3.5,0.0521875)); +#26678=CARTESIAN_POINT('',(2.31,3.5,0.17875)); +#26679=CARTESIAN_POINT('',(2.31,3.5,0.0521875)); +#26680=CARTESIAN_POINT('',(1.95,3.5,0.17875)); +#26681=CARTESIAN_POINT('',(2.31,3.5,0.17875)); +#26682=CARTESIAN_POINT('',(1.95,3.5,0.0521875)); +#26683=CARTESIAN_POINT('',(1.95,3.5,0.17875)); +#26684=CARTESIAN_POINT('',(1.95,3.5,0.0521875)); +#26685=CARTESIAN_POINT('Origin',(-2.67,3.5,0.01)); +#26686=CARTESIAN_POINT('',(-2.67,3.5,0.01)); +#26687=CARTESIAN_POINT('',(-2.67,-2.5,0.85375)); +#26688=CARTESIAN_POINT('',(-2.67,0.68,0.0521875)); +#26689=CARTESIAN_POINT('',(-2.67,0.68,0.17875)); +#26690=CARTESIAN_POINT('',(-2.67,0.68,0.0521875)); +#26691=CARTESIAN_POINT('',(-2.67,0.32,0.17875)); +#26692=CARTESIAN_POINT('',(-2.67,0.68,0.17875)); +#26693=CARTESIAN_POINT('',(-2.67,0.32,0.0521875)); +#26694=CARTESIAN_POINT('',(-2.67,0.32,0.17875)); +#26695=CARTESIAN_POINT('',(-2.67,0.32,0.0521875)); +#26696=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.0521875)); +#26697=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.17875)); +#26698=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.0521875)); +#26699=CARTESIAN_POINT('',(-2.67,-0.28,0.17875)); +#26700=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.17875)); +#26701=CARTESIAN_POINT('',(-2.67,-0.28,0.0521875)); +#26702=CARTESIAN_POINT('',(-2.67,-0.28,0.17875)); +#26703=CARTESIAN_POINT('',(-2.67,-0.28,0.0521875)); +#26704=CARTESIAN_POINT('',(-2.67,-0.52,0.0521875)); +#26705=CARTESIAN_POINT('',(-2.67,-0.52,0.17875)); +#26706=CARTESIAN_POINT('',(-2.67,-0.52,0.0521875)); +#26707=CARTESIAN_POINT('',(-2.67,-0.88,0.17875)); +#26708=CARTESIAN_POINT('',(-2.67,-0.52,0.17875)); +#26709=CARTESIAN_POINT('',(-2.67,-0.88,0.0521875)); +#26710=CARTESIAN_POINT('',(-2.67,-0.88,0.17875)); +#26711=CARTESIAN_POINT('',(-2.67,-0.88,0.0521875)); +#26712=CARTESIAN_POINT('',(-2.67,-1.12,0.0521875)); +#26713=CARTESIAN_POINT('',(-2.67,-1.12,0.17875)); +#26714=CARTESIAN_POINT('',(-2.67,-1.12,0.0521875)); +#26715=CARTESIAN_POINT('',(-2.67,-1.48,0.17875)); +#26716=CARTESIAN_POINT('',(-2.67,-1.12,0.17875)); +#26717=CARTESIAN_POINT('',(-2.67,-1.48,0.0521875)); +#26718=CARTESIAN_POINT('',(-2.67,-1.48,0.17875)); +#26719=CARTESIAN_POINT('',(-2.67,-1.48,0.0521875)); +#26720=CARTESIAN_POINT('',(-2.67,1.28,0.0521875)); +#26721=CARTESIAN_POINT('',(-2.67,1.28,0.17875)); +#26722=CARTESIAN_POINT('',(-2.67,1.28,0.0521875)); +#26723=CARTESIAN_POINT('',(-2.67,0.92,0.17875)); +#26724=CARTESIAN_POINT('',(-2.67,1.28,0.17875)); +#26725=CARTESIAN_POINT('',(-2.67,0.92,0.0521875)); +#26726=CARTESIAN_POINT('',(-2.67,0.92,0.17875)); +#26727=CARTESIAN_POINT('',(-2.67,0.92,0.0521875)); +#26728=CARTESIAN_POINT('',(-2.67,1.88,0.0521875)); +#26729=CARTESIAN_POINT('',(-2.67,1.88,0.17875)); +#26730=CARTESIAN_POINT('',(-2.67,1.88,0.0521875)); +#26731=CARTESIAN_POINT('',(-2.67,1.52,0.17875)); +#26732=CARTESIAN_POINT('',(-2.67,1.88,0.17875)); +#26733=CARTESIAN_POINT('',(-2.67,1.52,0.0521875)); +#26734=CARTESIAN_POINT('',(-2.67,1.52,0.17875)); +#26735=CARTESIAN_POINT('',(-2.67,1.52,0.0521875)); +#26736=CARTESIAN_POINT('',(-2.67,2.48,0.0521875)); +#26737=CARTESIAN_POINT('',(-2.67,2.48,0.17875)); +#26738=CARTESIAN_POINT('',(-2.67,2.48,0.0521875)); +#26739=CARTESIAN_POINT('',(-2.67,2.12,0.17875)); +#26740=CARTESIAN_POINT('',(-2.67,2.48,0.17875)); +#26741=CARTESIAN_POINT('',(-2.67,2.12,0.0521875)); +#26742=CARTESIAN_POINT('',(-2.67,2.12,0.17875)); +#26743=CARTESIAN_POINT('',(-2.67,2.12,0.0521875)); +#26744=CARTESIAN_POINT('Origin',(-2.67,-2.5,0.01)); +#26745=CARTESIAN_POINT('Origin',(-2.67,-2.5,0.85375)); +#26746=CARTESIAN_POINT('',(2.83392305010265,2.66,0.85375)); +#26747=CARTESIAN_POINT('',(2.26590474139369,2.65998888692878,0.853750000146264)); +#26748=CARTESIAN_POINT('Ctrl Pts',(2.83392305010265,2.66,0.85375)); +#26749=CARTESIAN_POINT('Ctrl Pts',(2.83436118206119,2.58577811921319,0.853749999999747)); +#26750=CARTESIAN_POINT('Ctrl Pts',(2.80342463928272,2.51158983084306,0.853749999751431)); +#26751=CARTESIAN_POINT('Ctrl Pts',(2.75088903542258,2.45911996783086,0.85374999978789)); +#26752=CARTESIAN_POINT('Ctrl Pts',(2.69835343147164,2.40665010472797,0.853749999824339)); +#26753=CARTESIAN_POINT('Ctrl Pts',(2.55008409958644,2.34514722703862,0.853750000466771)); +#26754=CARTESIAN_POINT('Ctrl Pts',(2.40158019950914,2.40662657133079,0.85374999978249)); +#26755=CARTESIAN_POINT('Ctrl Pts',(2.34909759136388,2.45909940483969,0.853749999787358)); +#26756=CARTESIAN_POINT('Ctrl Pts',(2.29661498320652,2.51157223836069,0.853749999792227)); +#26757=CARTESIAN_POINT('Ctrl Pts',(2.26590171347422,2.58577823570616,0.853750000144104)); +#26758=CARTESIAN_POINT('Ctrl Pts',(2.26590474139369,2.65998888692878,0.853750000146264)); +#26759=CARTESIAN_POINT('Ctrl Pts',(2.26590474139369,2.65998888692878,0.853750000146264)); +#26760=CARTESIAN_POINT('Ctrl Pts',(2.26590776931317,2.73419953815886,0.853750000148424)); +#26761=CARTESIAN_POINT('Ctrl Pts',(2.29662709489049,2.80841484080538,0.853749999800858)); +#26762=CARTESIAN_POINT('Ctrl Pts',(2.34910557431034,2.86089046306189,0.853749999789501)); +#26763=CARTESIAN_POINT('Ctrl Pts',(2.40158405370193,2.91336608529015,0.853749999778144)); +#26764=CARTESIAN_POINT('Ctrl Pts',(2.55005932691084,2.974837973784,0.853750000427832)); +#26765=CARTESIAN_POINT('Ctrl Pts',(2.69834804419374,2.9133346570344,0.853749999864671)); +#26766=CARTESIAN_POINT('Ctrl Pts',(2.75087481294114,2.86087938683694,0.853749999818628)); +#26767=CARTESIAN_POINT('Ctrl Pts',(2.80340158157387,2.80842411675398,0.853749999772584)); +#26768=CARTESIAN_POINT('Ctrl Pts',(2.83431076092697,2.73426523404252,0.853749999962065)); +#26769=CARTESIAN_POINT('Ctrl Pts',(2.83392305010265,2.66,0.85375)); +#26770=CARTESIAN_POINT('Origin',(2.175,3.035,0.8115625)); +#26771=CARTESIAN_POINT('',(2.73000000429331,2.66,0.8115625)); +#26772=CARTESIAN_POINT('',(2.3698908197215,2.65999295474131,0.8115625)); +#26773=CARTESIAN_POINT('Ctrl Pts',(2.73000000429331,2.66,0.8115625)); +#26774=CARTESIAN_POINT('Ctrl Pts',(2.7302458056059,2.70708226976248,0.8115625)); +#26775=CARTESIAN_POINT('Ctrl Pts',(2.69105452535071,2.80111196670436,0.8115625)); +#26776=CARTESIAN_POINT('Ctrl Pts',(2.55003761427341,2.85959928332409,0.8115625)); +#26777=CARTESIAN_POINT('Ctrl Pts',(2.40884328650473,2.80114185120544,0.8115625)); +#26778=CARTESIAN_POINT('Ctrl Pts',(2.36989273925425,2.70704062074529,0.8115625)); +#26779=CARTESIAN_POINT('Ctrl Pts',(2.3698908197215,2.65999295474131,0.8115625)); +#26780=CARTESIAN_POINT('Ctrl Pts',(2.3698908197215,2.65999295474131,0.8115625)); +#26781=CARTESIAN_POINT('Ctrl Pts',(2.36988890018874,2.61294528873723,0.8115625)); +#26782=CARTESIAN_POINT('Ctrl Pts',(2.40883176930834,2.51885585518126,0.8115625)); +#26783=CARTESIAN_POINT('Ctrl Pts',(2.55005331845511,2.46039133612172,0.8115625)); +#26784=CARTESIAN_POINT('Ctrl Pts',(2.69105179789798,2.51887823187126,0.8115625)); +#26785=CARTESIAN_POINT('Ctrl Pts',(2.73027776980274,2.61294521546205,0.8115625)); +#26786=CARTESIAN_POINT('Ctrl Pts',(2.73000000429331,2.66,0.8115625)); +#26787=CARTESIAN_POINT('Ctrl Pts',(2.85,2.66,0.8959375)); +#26788=CARTESIAN_POINT('Ctrl Pts',(2.85046294095324,2.58157535458383,0.8959375)); +#26789=CARTESIAN_POINT('Ctrl Pts',(2.78508633821904,2.42479705476619,0.8959375)); +#26790=CARTESIAN_POINT('Ctrl Pts',(2.55008886143632,2.32731890460588,0.8959375)); +#26791=CARTESIAN_POINT('Ctrl Pts',(2.31471961241795,2.42475975374484,0.8959375)); +#26792=CARTESIAN_POINT('Ctrl Pts',(2.21736245540471,2.65998334110068,0.8959375)); +#26793=CARTESIAN_POINT('Ctrl Pts',(2.31473880888662,2.89523642686802,0.8959375)); +#26794=CARTESIAN_POINT('Ctrl Pts',(2.55006268576889,2.99266545763911,0.8959375)); +#26795=CARTESIAN_POINT('Ctrl Pts',(2.78509088761544,2.89518661249146,0.8959375)); +#26796=CARTESIAN_POINT('Ctrl Pts',(2.85040966460245,2.73847045316616,0.8959375)); +#26797=CARTESIAN_POINT('Ctrl Pts',(2.85,2.66,0.8959375)); +#26798=CARTESIAN_POINT('Ctrl Pts',(2.85000000429331,2.66,0.8115625)); +#26799=CARTESIAN_POINT('Ctrl Pts',(2.85046294408374,2.58157535490211,0.8115625)); +#26800=CARTESIAN_POINT('Ctrl Pts',(2.7850863335086,2.42479705697718,0.8115625)); +#26801=CARTESIAN_POINT('Ctrl Pts',(2.55008886145495,2.3273188954962,0.8115625)); +#26802=CARTESIAN_POINT('Ctrl Pts',(2.31471961720523,2.42475975722811,0.8115625)); +#26803=CARTESIAN_POINT('Ctrl Pts',(2.21736244462954,2.65998334199245,0.8115625)); +#26804=CARTESIAN_POINT('Ctrl Pts',(2.31473881337346,2.89523642190462,0.8115625)); +#26805=CARTESIAN_POINT('Ctrl Pts',(2.55006268600252,2.99266546744605,0.8115625)); +#26806=CARTESIAN_POINT('Ctrl Pts',(2.78509088386566,2.89518660995557,0.811562499999999)); +#26807=CARTESIAN_POINT('Ctrl Pts',(2.85040966750055,2.73847045354442,0.8115625)); +#26808=CARTESIAN_POINT('Ctrl Pts',(2.85000000429331,2.66,0.8115625)); +#26809=CARTESIAN_POINT('Ctrl Pts',(2.73000000429331,2.66,0.8115625)); +#26810=CARTESIAN_POINT('Ctrl Pts',(2.73027776980274,2.61294521546205,0.8115625)); +#26811=CARTESIAN_POINT('Ctrl Pts',(2.69105179789799,2.51887823187127,0.8115625)); +#26812=CARTESIAN_POINT('Ctrl Pts',(2.5500533184551,2.46039133612173,0.8115625)); +#26813=CARTESIAN_POINT('Ctrl Pts',(2.40883176930834,2.51885585518128,0.8115625)); +#26814=CARTESIAN_POINT('Ctrl Pts',(2.35041746562898,2.65999000551511,0.8115625)); +#26815=CARTESIAN_POINT('Ctrl Pts',(2.40884328650473,2.80114185120543,0.8115625)); +#26816=CARTESIAN_POINT('Ctrl Pts',(2.55003761427341,2.85959928332409,0.8115625)); +#26817=CARTESIAN_POINT('Ctrl Pts',(2.6910545253507,2.80111196670436,0.8115625)); +#26818=CARTESIAN_POINT('Ctrl Pts',(2.7302458056059,2.70708226976248,0.8115625)); +#26819=CARTESIAN_POINT('Ctrl Pts',(2.73000000429331,2.66,0.8115625)); +#26820=CARTESIAN_POINT('Origin',(2.73000000390045,2.66,0.895937497257494)); +#26821=CARTESIAN_POINT('Origin',(2.36987750372742,2.65999295390487,0.895998057352811)); +#26822=CARTESIAN_POINT('Origin',(0.75,-2.5,0.0521875)); +#26823=CARTESIAN_POINT('',(0.75,-1.78,0.0521875)); +#26824=CARTESIAN_POINT('',(0.75,-2.5,0.0521875)); +#26825=CARTESIAN_POINT('',(0.75,-1.78,0.17875)); +#26826=CARTESIAN_POINT('',(0.75,-1.78,0.0521875)); +#26827=CARTESIAN_POINT('',(0.75,-1.78,0.17875)); +#26828=CARTESIAN_POINT('Origin',(0.75,-2.5,0.17875)); +#26829=CARTESIAN_POINT('',(1.11,-1.78,0.17875)); +#26830=CARTESIAN_POINT('',(0.75,-1.78,0.17875)); +#26831=CARTESIAN_POINT('',(1.11,-1.78,0.17875)); +#26832=CARTESIAN_POINT('Origin',(1.11,-2.5,0.17875)); +#26833=CARTESIAN_POINT('',(1.11,-1.78,0.0521875)); +#26834=CARTESIAN_POINT('',(1.11,-1.78,0.17875)); +#26835=CARTESIAN_POINT('',(1.11,-1.78,0.0521875)); +#26836=CARTESIAN_POINT('Origin',(1.11,-2.5,0.0521875)); +#26837=CARTESIAN_POINT('',(1.11,-1.78,0.0521875)); +#26838=CARTESIAN_POINT('Origin',(1.11,-1.78,0.0521875)); +#26839=CARTESIAN_POINT('Origin',(1.35,-2.5,0.0521875)); +#26840=CARTESIAN_POINT('',(1.35,-1.78,0.0521875)); +#26841=CARTESIAN_POINT('',(1.35,-2.5,0.0521875)); +#26842=CARTESIAN_POINT('',(1.35,-1.78,0.17875)); +#26843=CARTESIAN_POINT('',(1.35,-1.78,0.0521875)); +#26844=CARTESIAN_POINT('',(1.35,-1.78,0.17875)); +#26845=CARTESIAN_POINT('Origin',(1.35,-2.5,0.17875)); +#26846=CARTESIAN_POINT('',(1.71,-1.78,0.17875)); +#26847=CARTESIAN_POINT('',(1.35,-1.78,0.17875)); +#26848=CARTESIAN_POINT('',(1.71,-1.78,0.17875)); +#26849=CARTESIAN_POINT('Origin',(1.71,-2.5,0.17875)); +#26850=CARTESIAN_POINT('',(1.71,-1.78,0.0521875)); +#26851=CARTESIAN_POINT('',(1.71,-1.78,0.17875)); +#26852=CARTESIAN_POINT('',(1.71,-1.78,0.0521875)); +#26853=CARTESIAN_POINT('Origin',(1.71,-2.5,0.0521875)); +#26854=CARTESIAN_POINT('',(1.71,-1.78,0.0521875)); +#26855=CARTESIAN_POINT('Origin',(1.71,-1.78,0.0521875)); +#26856=CARTESIAN_POINT('Origin',(1.95,-2.5,0.0521875)); +#26857=CARTESIAN_POINT('',(1.95,-1.78,0.0521875)); +#26858=CARTESIAN_POINT('',(1.95,-2.5,0.0521875)); +#26859=CARTESIAN_POINT('',(1.95,-1.78,0.17875)); +#26860=CARTESIAN_POINT('',(1.95,-1.78,0.0521875)); +#26861=CARTESIAN_POINT('',(1.95,-1.78,0.17875)); +#26862=CARTESIAN_POINT('Origin',(1.95,-2.5,0.17875)); +#26863=CARTESIAN_POINT('',(2.31,-1.78,0.17875)); +#26864=CARTESIAN_POINT('',(1.95,-1.78,0.17875)); +#26865=CARTESIAN_POINT('',(2.31,-1.78,0.17875)); +#26866=CARTESIAN_POINT('Origin',(2.31,-2.5,0.17875)); +#26867=CARTESIAN_POINT('',(2.31,-1.78,0.0521875)); +#26868=CARTESIAN_POINT('',(2.31,-1.78,0.17875)); +#26869=CARTESIAN_POINT('',(2.31,-1.78,0.0521875)); +#26870=CARTESIAN_POINT('Origin',(2.31,-2.5,0.0521875)); +#26871=CARTESIAN_POINT('',(2.31,-1.78,0.0521875)); +#26872=CARTESIAN_POINT('Origin',(2.31,-1.78,0.0521875)); +#26873=CARTESIAN_POINT('Origin',(0.15,-2.5,0.0521875)); +#26874=CARTESIAN_POINT('',(0.15,-1.78,0.0521875)); +#26875=CARTESIAN_POINT('',(0.15,-2.5,0.0521875)); +#26876=CARTESIAN_POINT('',(0.15,-1.78,0.17875)); +#26877=CARTESIAN_POINT('',(0.15,-1.78,0.0521875)); +#26878=CARTESIAN_POINT('',(0.15,-1.78,0.17875)); +#26879=CARTESIAN_POINT('Origin',(0.15,-2.5,0.17875)); +#26880=CARTESIAN_POINT('',(0.51,-1.78,0.17875)); +#26881=CARTESIAN_POINT('',(0.15,-1.78,0.17875)); +#26882=CARTESIAN_POINT('',(0.51,-1.78,0.17875)); +#26883=CARTESIAN_POINT('Origin',(0.51,-2.5,0.17875)); +#26884=CARTESIAN_POINT('',(0.51,-1.78,0.0521875)); +#26885=CARTESIAN_POINT('',(0.51,-1.78,0.17875)); +#26886=CARTESIAN_POINT('',(0.51,-1.78,0.0521875)); +#26887=CARTESIAN_POINT('Origin',(0.51,-2.5,0.0521875)); +#26888=CARTESIAN_POINT('',(0.51,-1.78,0.0521875)); +#26889=CARTESIAN_POINT('Origin',(0.51,-1.78,0.0521875)); +#26890=CARTESIAN_POINT('Origin',(-0.45,-2.5,0.0521875)); +#26891=CARTESIAN_POINT('',(-0.45,-1.78,0.0521875)); +#26892=CARTESIAN_POINT('',(-0.45,-2.5,0.0521875)); +#26893=CARTESIAN_POINT('',(-0.45,-1.78,0.17875)); +#26894=CARTESIAN_POINT('',(-0.45,-1.78,0.0521875)); +#26895=CARTESIAN_POINT('',(-0.45,-1.78,0.17875)); +#26896=CARTESIAN_POINT('Origin',(-0.45,-2.5,0.17875)); +#26897=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.17875)); +#26898=CARTESIAN_POINT('',(-0.45,-1.78,0.17875)); +#26899=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.17875)); +#26900=CARTESIAN_POINT('Origin',(-0.0899999999999999,-2.5,0.17875)); +#26901=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.0521875)); +#26902=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.17875)); +#26903=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.0521875)); +#26904=CARTESIAN_POINT('Origin',(-0.0899999999999999,-2.5,0.0521875)); +#26905=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.0521875)); +#26906=CARTESIAN_POINT('Origin',(-0.0899999999999999,-1.78,0.0521875)); +#26907=CARTESIAN_POINT('Origin',(-1.05,-2.5,0.0521875)); +#26908=CARTESIAN_POINT('',(-1.05,-1.78,0.0521875)); +#26909=CARTESIAN_POINT('',(-1.05,-2.5,0.0521875)); +#26910=CARTESIAN_POINT('',(-1.05,-1.78,0.17875)); +#26911=CARTESIAN_POINT('',(-1.05,-1.78,0.0521875)); +#26912=CARTESIAN_POINT('',(-1.05,-1.78,0.17875)); +#26913=CARTESIAN_POINT('Origin',(-1.05,-2.5,0.17875)); +#26914=CARTESIAN_POINT('',(-0.69,-1.78,0.17875)); +#26915=CARTESIAN_POINT('',(-1.05,-1.78,0.17875)); +#26916=CARTESIAN_POINT('',(-0.69,-1.78,0.17875)); +#26917=CARTESIAN_POINT('Origin',(-0.69,-2.5,0.17875)); +#26918=CARTESIAN_POINT('',(-0.69,-1.78,0.0521875)); +#26919=CARTESIAN_POINT('',(-0.69,-1.78,0.17875)); +#26920=CARTESIAN_POINT('',(-0.69,-1.78,0.0521875)); +#26921=CARTESIAN_POINT('Origin',(-0.69,-2.5,0.0521875)); +#26922=CARTESIAN_POINT('',(-0.69,-1.78,0.0521875)); +#26923=CARTESIAN_POINT('Origin',(-0.69,-1.78,0.0521875)); +#26924=CARTESIAN_POINT('Origin',(-1.65,-2.5,0.0521875)); +#26925=CARTESIAN_POINT('',(-1.65,-1.78,0.0521875)); +#26926=CARTESIAN_POINT('',(-1.65,-2.5,0.0521875)); +#26927=CARTESIAN_POINT('',(-1.65,-1.78,0.17875)); +#26928=CARTESIAN_POINT('',(-1.65,-1.78,0.0521875)); +#26929=CARTESIAN_POINT('',(-1.65,-1.78,0.17875)); +#26930=CARTESIAN_POINT('Origin',(-1.65,-2.5,0.17875)); +#26931=CARTESIAN_POINT('',(-1.29,-1.78,0.17875)); +#26932=CARTESIAN_POINT('',(-1.65,-1.78,0.17875)); +#26933=CARTESIAN_POINT('',(-1.29,-1.78,0.17875)); +#26934=CARTESIAN_POINT('Origin',(-1.29,-2.5,0.17875)); +#26935=CARTESIAN_POINT('',(-1.29,-1.78,0.0521875)); +#26936=CARTESIAN_POINT('',(-1.29,-1.78,0.17875)); +#26937=CARTESIAN_POINT('',(-1.29,-1.78,0.0521875)); +#26938=CARTESIAN_POINT('Origin',(-1.29,-2.5,0.0521875)); +#26939=CARTESIAN_POINT('',(-1.29,-1.78,0.0521875)); +#26940=CARTESIAN_POINT('Origin',(-1.29,-1.78,0.0521875)); +#26941=CARTESIAN_POINT('Origin',(3.33,0.92,0.0521875)); +#26942=CARTESIAN_POINT('',(2.61,0.92,0.0521875)); +#26943=CARTESIAN_POINT('',(3.33,0.92,0.0521875)); +#26944=CARTESIAN_POINT('',(2.61,0.92,0.17875)); +#26945=CARTESIAN_POINT('',(2.61,0.92,0.0521875)); +#26946=CARTESIAN_POINT('',(2.61,0.92,0.17875)); +#26947=CARTESIAN_POINT('Origin',(3.33,0.92,0.17875)); +#26948=CARTESIAN_POINT('',(2.61,1.28,0.17875)); +#26949=CARTESIAN_POINT('',(2.61,0.92,0.17875)); +#26950=CARTESIAN_POINT('',(2.61,1.28,0.17875)); +#26951=CARTESIAN_POINT('Origin',(3.33,1.28,0.17875)); +#26952=CARTESIAN_POINT('',(2.61,1.28,0.0521875)); +#26953=CARTESIAN_POINT('',(2.61,1.28,0.17875)); +#26954=CARTESIAN_POINT('',(2.61,1.28,0.0521875)); +#26955=CARTESIAN_POINT('Origin',(3.33,1.28,0.0521875)); +#26956=CARTESIAN_POINT('',(2.61,1.28,0.0521875)); +#26957=CARTESIAN_POINT('Origin',(2.61,1.28,0.0521875)); +#26958=CARTESIAN_POINT('Origin',(3.33,1.52,0.0521875)); +#26959=CARTESIAN_POINT('',(2.61,1.52,0.0521875)); +#26960=CARTESIAN_POINT('',(3.33,1.52,0.0521875)); +#26961=CARTESIAN_POINT('',(2.61,1.52,0.17875)); +#26962=CARTESIAN_POINT('',(2.61,1.52,0.0521875)); +#26963=CARTESIAN_POINT('',(2.61,1.52,0.17875)); +#26964=CARTESIAN_POINT('Origin',(3.33,1.52,0.17875)); +#26965=CARTESIAN_POINT('',(2.61,1.88,0.17875)); +#26966=CARTESIAN_POINT('',(2.61,1.52,0.17875)); +#26967=CARTESIAN_POINT('',(2.61,1.88,0.17875)); +#26968=CARTESIAN_POINT('Origin',(3.33,1.88,0.17875)); +#26969=CARTESIAN_POINT('',(2.61,1.88,0.0521875)); +#26970=CARTESIAN_POINT('',(2.61,1.88,0.17875)); +#26971=CARTESIAN_POINT('',(2.61,1.88,0.0521875)); +#26972=CARTESIAN_POINT('Origin',(3.33,1.88,0.0521875)); +#26973=CARTESIAN_POINT('',(2.61,1.88,0.0521875)); +#26974=CARTESIAN_POINT('Origin',(2.61,1.88,0.0521875)); +#26975=CARTESIAN_POINT('Origin',(3.33,2.12,0.0521875)); +#26976=CARTESIAN_POINT('',(2.61,2.12,0.0521875)); +#26977=CARTESIAN_POINT('',(3.33,2.12,0.0521875)); +#26978=CARTESIAN_POINT('',(2.61,2.12,0.17875)); +#26979=CARTESIAN_POINT('',(2.61,2.12,0.0521875)); +#26980=CARTESIAN_POINT('',(2.61,2.12,0.17875)); +#26981=CARTESIAN_POINT('Origin',(3.33,2.12,0.17875)); +#26982=CARTESIAN_POINT('',(2.61,2.48,0.17875)); +#26983=CARTESIAN_POINT('',(2.61,2.12,0.17875)); +#26984=CARTESIAN_POINT('',(2.61,2.48,0.17875)); +#26985=CARTESIAN_POINT('Origin',(3.33,2.48,0.17875)); +#26986=CARTESIAN_POINT('',(2.61,2.48,0.0521875)); +#26987=CARTESIAN_POINT('',(2.61,2.48,0.17875)); +#26988=CARTESIAN_POINT('',(2.61,2.48,0.0521875)); +#26989=CARTESIAN_POINT('Origin',(3.33,2.48,0.0521875)); +#26990=CARTESIAN_POINT('',(2.61,2.48,0.0521875)); +#26991=CARTESIAN_POINT('Origin',(2.61,2.48,0.0521875)); +#26992=CARTESIAN_POINT('Origin',(3.33,0.32,0.0521875)); +#26993=CARTESIAN_POINT('',(2.61,0.32,0.0521875)); +#26994=CARTESIAN_POINT('',(3.33,0.32,0.0521875)); +#26995=CARTESIAN_POINT('',(2.61,0.32,0.17875)); +#26996=CARTESIAN_POINT('',(2.61,0.32,0.0521875)); +#26997=CARTESIAN_POINT('',(2.61,0.32,0.17875)); +#26998=CARTESIAN_POINT('Origin',(3.33,0.32,0.17875)); +#26999=CARTESIAN_POINT('',(2.61,0.68,0.17875)); +#27000=CARTESIAN_POINT('',(2.61,0.32,0.17875)); +#27001=CARTESIAN_POINT('',(2.61,0.68,0.17875)); +#27002=CARTESIAN_POINT('Origin',(3.33,0.68,0.17875)); +#27003=CARTESIAN_POINT('',(2.61,0.68,0.0521875)); +#27004=CARTESIAN_POINT('',(2.61,0.68,0.17875)); +#27005=CARTESIAN_POINT('',(2.61,0.68,0.0521875)); +#27006=CARTESIAN_POINT('Origin',(3.33,0.68,0.0521875)); +#27007=CARTESIAN_POINT('',(2.61,0.68,0.0521875)); +#27008=CARTESIAN_POINT('Origin',(2.61,0.68,0.0521875)); +#27009=CARTESIAN_POINT('Origin',(3.33,-0.28,0.0521875)); +#27010=CARTESIAN_POINT('',(2.61,-0.28,0.0521875)); +#27011=CARTESIAN_POINT('',(3.33,-0.28,0.0521875)); +#27012=CARTESIAN_POINT('',(2.61,-0.28,0.17875)); +#27013=CARTESIAN_POINT('',(2.61,-0.28,0.0521875)); +#27014=CARTESIAN_POINT('',(2.61,-0.28,0.17875)); +#27015=CARTESIAN_POINT('Origin',(3.33,-0.28,0.17875)); +#27016=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.17875)); +#27017=CARTESIAN_POINT('',(2.61,-0.28,0.17875)); +#27018=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.17875)); +#27019=CARTESIAN_POINT('Origin',(3.33,0.0799999999999999,0.17875)); +#27020=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.0521875)); +#27021=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.17875)); +#27022=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.0521875)); +#27023=CARTESIAN_POINT('Origin',(3.33,0.0799999999999999,0.0521875)); +#27024=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.0521875)); +#27025=CARTESIAN_POINT('Origin',(2.61,0.0799999999999999,0.0521875)); +#27026=CARTESIAN_POINT('Origin',(3.33,-0.88,0.0521875)); +#27027=CARTESIAN_POINT('',(2.61,-0.88,0.0521875)); +#27028=CARTESIAN_POINT('',(3.33,-0.88,0.0521875)); +#27029=CARTESIAN_POINT('',(2.61,-0.88,0.17875)); +#27030=CARTESIAN_POINT('',(2.61,-0.88,0.0521875)); +#27031=CARTESIAN_POINT('',(2.61,-0.88,0.17875)); +#27032=CARTESIAN_POINT('Origin',(3.33,-0.88,0.17875)); +#27033=CARTESIAN_POINT('',(2.61,-0.52,0.17875)); +#27034=CARTESIAN_POINT('',(2.61,-0.88,0.17875)); +#27035=CARTESIAN_POINT('',(2.61,-0.52,0.17875)); +#27036=CARTESIAN_POINT('Origin',(3.33,-0.52,0.17875)); +#27037=CARTESIAN_POINT('',(2.61,-0.52,0.0521875)); +#27038=CARTESIAN_POINT('',(2.61,-0.52,0.17875)); +#27039=CARTESIAN_POINT('',(2.61,-0.52,0.0521875)); +#27040=CARTESIAN_POINT('Origin',(3.33,-0.52,0.0521875)); +#27041=CARTESIAN_POINT('',(2.61,-0.52,0.0521875)); +#27042=CARTESIAN_POINT('Origin',(2.61,-0.52,0.0521875)); +#27043=CARTESIAN_POINT('Origin',(3.33,-1.48,0.0521875)); +#27044=CARTESIAN_POINT('',(2.61,-1.48,0.0521875)); +#27045=CARTESIAN_POINT('',(3.33,-1.48,0.0521875)); +#27046=CARTESIAN_POINT('',(2.61,-1.48,0.17875)); +#27047=CARTESIAN_POINT('',(2.61,-1.48,0.0521875)); +#27048=CARTESIAN_POINT('',(2.61,-1.48,0.17875)); +#27049=CARTESIAN_POINT('Origin',(3.33,-1.48,0.17875)); +#27050=CARTESIAN_POINT('',(2.61,-1.12,0.17875)); +#27051=CARTESIAN_POINT('',(2.61,-1.48,0.17875)); +#27052=CARTESIAN_POINT('',(2.61,-1.12,0.17875)); +#27053=CARTESIAN_POINT('Origin',(3.33,-1.12,0.17875)); +#27054=CARTESIAN_POINT('',(2.61,-1.12,0.0521875)); +#27055=CARTESIAN_POINT('',(2.61,-1.12,0.17875)); +#27056=CARTESIAN_POINT('',(2.61,-1.12,0.0521875)); +#27057=CARTESIAN_POINT('Origin',(3.33,-1.12,0.0521875)); +#27058=CARTESIAN_POINT('',(2.61,-1.12,0.0521875)); +#27059=CARTESIAN_POINT('Origin',(2.61,-1.12,0.0521875)); +#27060=CARTESIAN_POINT('Origin',(-2.67,0.68,0.0521875)); +#27061=CARTESIAN_POINT('',(-1.95,0.68,0.0521875)); +#27062=CARTESIAN_POINT('',(-2.67,0.68,0.0521875)); +#27063=CARTESIAN_POINT('',(-1.95,0.68,0.17875)); +#27064=CARTESIAN_POINT('',(-1.95,0.68,0.0521875)); +#27065=CARTESIAN_POINT('',(-1.95,0.68,0.17875)); +#27066=CARTESIAN_POINT('Origin',(-2.67,0.68,0.17875)); +#27067=CARTESIAN_POINT('',(-1.95,0.32,0.17875)); +#27068=CARTESIAN_POINT('',(-1.95,0.68,0.17875)); +#27069=CARTESIAN_POINT('',(-1.95,0.32,0.17875)); +#27070=CARTESIAN_POINT('Origin',(-2.67,0.32,0.17875)); +#27071=CARTESIAN_POINT('',(-1.95,0.32,0.0521875)); +#27072=CARTESIAN_POINT('',(-1.95,0.32,0.17875)); +#27073=CARTESIAN_POINT('',(-1.95,0.32,0.0521875)); +#27074=CARTESIAN_POINT('Origin',(-2.67,0.32,0.0521875)); +#27075=CARTESIAN_POINT('',(-1.95,0.32,0.0521875)); +#27076=CARTESIAN_POINT('Origin',(-1.95,0.32,0.0521875)); +#27077=CARTESIAN_POINT('Origin',(-2.67,0.0799999999999999,0.0521875)); +#27078=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.0521875)); +#27079=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.0521875)); +#27080=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.17875)); +#27081=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.0521875)); +#27082=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.17875)); +#27083=CARTESIAN_POINT('Origin',(-2.67,0.0799999999999999,0.17875)); +#27084=CARTESIAN_POINT('',(-1.95,-0.28,0.17875)); +#27085=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.17875)); +#27086=CARTESIAN_POINT('',(-1.95,-0.28,0.17875)); +#27087=CARTESIAN_POINT('Origin',(-2.67,-0.28,0.17875)); +#27088=CARTESIAN_POINT('',(-1.95,-0.28,0.0521875)); +#27089=CARTESIAN_POINT('',(-1.95,-0.28,0.17875)); +#27090=CARTESIAN_POINT('',(-1.95,-0.28,0.0521875)); +#27091=CARTESIAN_POINT('Origin',(-2.67,-0.28,0.0521875)); +#27092=CARTESIAN_POINT('',(-1.95,-0.28,0.0521875)); +#27093=CARTESIAN_POINT('Origin',(-1.95,-0.28,0.0521875)); +#27094=CARTESIAN_POINT('Origin',(-2.67,-0.52,0.0521875)); +#27095=CARTESIAN_POINT('',(-1.95,-0.52,0.0521875)); +#27096=CARTESIAN_POINT('',(-2.67,-0.52,0.0521875)); +#27097=CARTESIAN_POINT('',(-1.95,-0.52,0.17875)); +#27098=CARTESIAN_POINT('',(-1.95,-0.52,0.0521875)); +#27099=CARTESIAN_POINT('',(-1.95,-0.52,0.17875)); +#27100=CARTESIAN_POINT('Origin',(-2.67,-0.52,0.17875)); +#27101=CARTESIAN_POINT('',(-1.95,-0.88,0.17875)); +#27102=CARTESIAN_POINT('',(-1.95,-0.52,0.17875)); +#27103=CARTESIAN_POINT('',(-1.95,-0.88,0.17875)); +#27104=CARTESIAN_POINT('Origin',(-2.67,-0.88,0.17875)); +#27105=CARTESIAN_POINT('',(-1.95,-0.88,0.0521875)); +#27106=CARTESIAN_POINT('',(-1.95,-0.88,0.17875)); +#27107=CARTESIAN_POINT('',(-1.95,-0.88,0.0521875)); +#27108=CARTESIAN_POINT('Origin',(-2.67,-0.88,0.0521875)); +#27109=CARTESIAN_POINT('',(-1.95,-0.88,0.0521875)); +#27110=CARTESIAN_POINT('Origin',(-1.95,-0.88,0.0521875)); +#27111=CARTESIAN_POINT('Origin',(-2.67,-1.12,0.0521875)); +#27112=CARTESIAN_POINT('',(-1.95,-1.12,0.0521875)); +#27113=CARTESIAN_POINT('',(-2.67,-1.12,0.0521875)); +#27114=CARTESIAN_POINT('',(-1.95,-1.12,0.17875)); +#27115=CARTESIAN_POINT('',(-1.95,-1.12,0.0521875)); +#27116=CARTESIAN_POINT('',(-1.95,-1.12,0.17875)); +#27117=CARTESIAN_POINT('Origin',(-2.67,-1.12,0.17875)); +#27118=CARTESIAN_POINT('',(-1.95,-1.48,0.17875)); +#27119=CARTESIAN_POINT('',(-1.95,-1.12,0.17875)); +#27120=CARTESIAN_POINT('',(-1.95,-1.48,0.17875)); +#27121=CARTESIAN_POINT('Origin',(-2.67,-1.48,0.17875)); +#27122=CARTESIAN_POINT('',(-1.95,-1.48,0.0521875)); +#27123=CARTESIAN_POINT('',(-1.95,-1.48,0.17875)); +#27124=CARTESIAN_POINT('',(-1.95,-1.48,0.0521875)); +#27125=CARTESIAN_POINT('Origin',(-2.67,-1.48,0.0521875)); +#27126=CARTESIAN_POINT('',(-1.95,-1.48,0.0521875)); +#27127=CARTESIAN_POINT('Origin',(-1.95,-1.48,0.0521875)); +#27128=CARTESIAN_POINT('Origin',(-2.67,1.28,0.0521875)); +#27129=CARTESIAN_POINT('',(-1.95,1.28,0.0521875)); +#27130=CARTESIAN_POINT('',(-2.67,1.28,0.0521875)); +#27131=CARTESIAN_POINT('',(-1.95,1.28,0.17875)); +#27132=CARTESIAN_POINT('',(-1.95,1.28,0.0521875)); +#27133=CARTESIAN_POINT('',(-1.95,1.28,0.17875)); +#27134=CARTESIAN_POINT('Origin',(-2.67,1.28,0.17875)); +#27135=CARTESIAN_POINT('',(-1.95,0.92,0.17875)); +#27136=CARTESIAN_POINT('',(-1.95,1.28,0.17875)); +#27137=CARTESIAN_POINT('',(-1.95,0.92,0.17875)); +#27138=CARTESIAN_POINT('Origin',(-2.67,0.92,0.17875)); +#27139=CARTESIAN_POINT('',(-1.95,0.92,0.0521875)); +#27140=CARTESIAN_POINT('',(-1.95,0.92,0.17875)); +#27141=CARTESIAN_POINT('',(-1.95,0.92,0.0521875)); +#27142=CARTESIAN_POINT('Origin',(-2.67,0.92,0.0521875)); +#27143=CARTESIAN_POINT('',(-1.95,0.92,0.0521875)); +#27144=CARTESIAN_POINT('Origin',(-1.95,0.92,0.0521875)); +#27145=CARTESIAN_POINT('Origin',(-2.67,1.88,0.0521875)); +#27146=CARTESIAN_POINT('',(-1.95,1.88,0.0521875)); +#27147=CARTESIAN_POINT('',(-2.67,1.88,0.0521875)); +#27148=CARTESIAN_POINT('',(-1.95,1.88,0.17875)); +#27149=CARTESIAN_POINT('',(-1.95,1.88,0.0521875)); +#27150=CARTESIAN_POINT('',(-1.95,1.88,0.17875)); +#27151=CARTESIAN_POINT('Origin',(-2.67,1.88,0.17875)); +#27152=CARTESIAN_POINT('',(-1.95,1.52,0.17875)); +#27153=CARTESIAN_POINT('',(-1.95,1.88,0.17875)); +#27154=CARTESIAN_POINT('',(-1.95,1.52,0.17875)); +#27155=CARTESIAN_POINT('Origin',(-2.67,1.52,0.17875)); +#27156=CARTESIAN_POINT('',(-1.95,1.52,0.0521875)); +#27157=CARTESIAN_POINT('',(-1.95,1.52,0.17875)); +#27158=CARTESIAN_POINT('',(-1.95,1.52,0.0521875)); +#27159=CARTESIAN_POINT('Origin',(-2.67,1.52,0.0521875)); +#27160=CARTESIAN_POINT('',(-1.95,1.52,0.0521875)); +#27161=CARTESIAN_POINT('Origin',(-1.95,1.52,0.0521875)); +#27162=CARTESIAN_POINT('Origin',(-2.67,2.48,0.0521875)); +#27163=CARTESIAN_POINT('',(-1.95,2.48,0.0521875)); +#27164=CARTESIAN_POINT('',(-2.67,2.48,0.0521875)); +#27165=CARTESIAN_POINT('',(-1.95,2.48,0.17875)); +#27166=CARTESIAN_POINT('',(-1.95,2.48,0.0521875)); +#27167=CARTESIAN_POINT('',(-1.95,2.48,0.17875)); +#27168=CARTESIAN_POINT('Origin',(-2.67,2.48,0.17875)); +#27169=CARTESIAN_POINT('',(-1.95,2.12,0.17875)); +#27170=CARTESIAN_POINT('',(-1.95,2.48,0.17875)); +#27171=CARTESIAN_POINT('',(-1.95,2.12,0.17875)); +#27172=CARTESIAN_POINT('Origin',(-2.67,2.12,0.17875)); +#27173=CARTESIAN_POINT('',(-1.95,2.12,0.0521875)); +#27174=CARTESIAN_POINT('',(-1.95,2.12,0.17875)); +#27175=CARTESIAN_POINT('',(-1.95,2.12,0.0521875)); +#27176=CARTESIAN_POINT('Origin',(-2.67,2.12,0.0521875)); +#27177=CARTESIAN_POINT('',(-1.95,2.12,0.0521875)); +#27178=CARTESIAN_POINT('Origin',(-1.95,2.12,0.0521875)); +#27179=CARTESIAN_POINT('Origin',(0.51,3.5,0.0521875)); +#27180=CARTESIAN_POINT('',(0.51,2.78,0.0521875)); +#27181=CARTESIAN_POINT('',(0.51,3.5,0.0521875)); +#27182=CARTESIAN_POINT('',(0.51,2.78,0.17875)); +#27183=CARTESIAN_POINT('',(0.51,2.78,0.0521875)); +#27184=CARTESIAN_POINT('',(0.51,2.78,0.17875)); +#27185=CARTESIAN_POINT('Origin',(0.51,3.5,0.17875)); +#27186=CARTESIAN_POINT('',(0.15,2.78,0.17875)); +#27187=CARTESIAN_POINT('',(0.51,2.78,0.17875)); +#27188=CARTESIAN_POINT('',(0.15,2.78,0.17875)); +#27189=CARTESIAN_POINT('Origin',(0.15,3.5,0.17875)); +#27190=CARTESIAN_POINT('',(0.15,2.78,0.0521875)); +#27191=CARTESIAN_POINT('',(0.15,2.78,0.17875)); +#27192=CARTESIAN_POINT('',(0.15,2.78,0.0521875)); +#27193=CARTESIAN_POINT('Origin',(0.15,3.5,0.0521875)); +#27194=CARTESIAN_POINT('',(0.15,2.78,0.0521875)); +#27195=CARTESIAN_POINT('Origin',(0.15,2.78,0.0521875)); +#27196=CARTESIAN_POINT('Origin',(-0.0899999999999999,3.5,0.0521875)); +#27197=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.0521875)); +#27198=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.0521875)); +#27199=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.17875)); +#27200=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.0521875)); +#27201=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.17875)); +#27202=CARTESIAN_POINT('Origin',(-0.0899999999999999,3.5,0.17875)); +#27203=CARTESIAN_POINT('',(-0.45,2.78,0.17875)); +#27204=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.17875)); +#27205=CARTESIAN_POINT('',(-0.45,2.78,0.17875)); +#27206=CARTESIAN_POINT('Origin',(-0.45,3.5,0.17875)); +#27207=CARTESIAN_POINT('',(-0.45,2.78,0.0521875)); +#27208=CARTESIAN_POINT('',(-0.45,2.78,0.17875)); +#27209=CARTESIAN_POINT('',(-0.45,2.78,0.0521875)); +#27210=CARTESIAN_POINT('Origin',(-0.45,3.5,0.0521875)); +#27211=CARTESIAN_POINT('',(-0.45,2.78,0.0521875)); +#27212=CARTESIAN_POINT('Origin',(-0.45,2.78,0.0521875)); +#27213=CARTESIAN_POINT('Origin',(-0.69,3.5,0.0521875)); +#27214=CARTESIAN_POINT('',(-0.69,2.78,0.0521875)); +#27215=CARTESIAN_POINT('',(-0.69,3.5,0.0521875)); +#27216=CARTESIAN_POINT('',(-0.69,2.78,0.17875)); +#27217=CARTESIAN_POINT('',(-0.69,2.78,0.0521875)); +#27218=CARTESIAN_POINT('',(-0.69,2.78,0.17875)); +#27219=CARTESIAN_POINT('Origin',(-0.69,3.5,0.17875)); +#27220=CARTESIAN_POINT('',(-1.05,2.78,0.17875)); +#27221=CARTESIAN_POINT('',(-0.69,2.78,0.17875)); +#27222=CARTESIAN_POINT('',(-1.05,2.78,0.17875)); +#27223=CARTESIAN_POINT('Origin',(-1.05,3.5,0.17875)); +#27224=CARTESIAN_POINT('',(-1.05,2.78,0.0521875)); +#27225=CARTESIAN_POINT('',(-1.05,2.78,0.17875)); +#27226=CARTESIAN_POINT('',(-1.05,2.78,0.0521875)); +#27227=CARTESIAN_POINT('Origin',(-1.05,3.5,0.0521875)); +#27228=CARTESIAN_POINT('',(-1.05,2.78,0.0521875)); +#27229=CARTESIAN_POINT('Origin',(-1.05,2.78,0.0521875)); +#27230=CARTESIAN_POINT('Origin',(-1.29,3.5,0.0521875)); +#27231=CARTESIAN_POINT('',(-1.29,2.78,0.0521875)); +#27232=CARTESIAN_POINT('',(-1.29,3.5,0.0521875)); +#27233=CARTESIAN_POINT('',(-1.29,2.78,0.17875)); +#27234=CARTESIAN_POINT('',(-1.29,2.78,0.0521875)); +#27235=CARTESIAN_POINT('',(-1.29,2.78,0.17875)); +#27236=CARTESIAN_POINT('Origin',(-1.29,3.5,0.17875)); +#27237=CARTESIAN_POINT('',(-1.65,2.78,0.17875)); +#27238=CARTESIAN_POINT('',(-1.29,2.78,0.17875)); +#27239=CARTESIAN_POINT('',(-1.65,2.78,0.17875)); +#27240=CARTESIAN_POINT('Origin',(-1.65,3.5,0.17875)); +#27241=CARTESIAN_POINT('',(-1.65,2.78,0.0521875)); +#27242=CARTESIAN_POINT('',(-1.65,2.78,0.17875)); +#27243=CARTESIAN_POINT('',(-1.65,2.78,0.0521875)); +#27244=CARTESIAN_POINT('Origin',(-1.65,3.5,0.0521875)); +#27245=CARTESIAN_POINT('',(-1.65,2.78,0.0521875)); +#27246=CARTESIAN_POINT('Origin',(-1.65,2.78,0.0521875)); +#27247=CARTESIAN_POINT('Origin',(1.11,3.5,0.0521875)); +#27248=CARTESIAN_POINT('',(1.11,2.78,0.0521875)); +#27249=CARTESIAN_POINT('',(1.11,3.5,0.0521875)); +#27250=CARTESIAN_POINT('',(1.11,2.78,0.17875)); +#27251=CARTESIAN_POINT('',(1.11,2.78,0.0521875)); +#27252=CARTESIAN_POINT('',(1.11,2.78,0.17875)); +#27253=CARTESIAN_POINT('Origin',(1.11,3.5,0.17875)); +#27254=CARTESIAN_POINT('',(0.75,2.78,0.17875)); +#27255=CARTESIAN_POINT('',(1.11,2.78,0.17875)); +#27256=CARTESIAN_POINT('',(0.75,2.78,0.17875)); +#27257=CARTESIAN_POINT('Origin',(0.75,3.5,0.17875)); +#27258=CARTESIAN_POINT('',(0.75,2.78,0.0521875)); +#27259=CARTESIAN_POINT('',(0.75,2.78,0.17875)); +#27260=CARTESIAN_POINT('',(0.75,2.78,0.0521875)); +#27261=CARTESIAN_POINT('Origin',(0.75,3.5,0.0521875)); +#27262=CARTESIAN_POINT('',(0.75,2.78,0.0521875)); +#27263=CARTESIAN_POINT('Origin',(0.75,2.78,0.0521875)); +#27264=CARTESIAN_POINT('Origin',(1.71,3.5,0.0521875)); +#27265=CARTESIAN_POINT('',(1.71,2.78,0.0521875)); +#27266=CARTESIAN_POINT('',(1.71,3.5,0.0521875)); +#27267=CARTESIAN_POINT('',(1.71,2.78,0.17875)); +#27268=CARTESIAN_POINT('',(1.71,2.78,0.0521875)); +#27269=CARTESIAN_POINT('',(1.71,2.78,0.17875)); +#27270=CARTESIAN_POINT('Origin',(1.71,3.5,0.17875)); +#27271=CARTESIAN_POINT('',(1.35,2.78,0.17875)); +#27272=CARTESIAN_POINT('',(1.71,2.78,0.17875)); +#27273=CARTESIAN_POINT('',(1.35,2.78,0.17875)); +#27274=CARTESIAN_POINT('Origin',(1.35,3.5,0.17875)); +#27275=CARTESIAN_POINT('',(1.35,2.78,0.0521875)); +#27276=CARTESIAN_POINT('',(1.35,2.78,0.17875)); +#27277=CARTESIAN_POINT('',(1.35,2.78,0.0521875)); +#27278=CARTESIAN_POINT('Origin',(1.35,3.5,0.0521875)); +#27279=CARTESIAN_POINT('',(1.35,2.78,0.0521875)); +#27280=CARTESIAN_POINT('Origin',(1.35,2.78,0.0521875)); +#27281=CARTESIAN_POINT('Origin',(2.31,3.5,0.0521875)); +#27282=CARTESIAN_POINT('',(2.31,2.78,0.0521875)); +#27283=CARTESIAN_POINT('',(2.31,3.5,0.0521875)); +#27284=CARTESIAN_POINT('',(2.31,2.78,0.17875)); +#27285=CARTESIAN_POINT('',(2.31,2.78,0.0521875)); +#27286=CARTESIAN_POINT('',(2.31,2.78,0.17875)); +#27287=CARTESIAN_POINT('Origin',(2.31,3.5,0.17875)); +#27288=CARTESIAN_POINT('',(1.95,2.78,0.17875)); +#27289=CARTESIAN_POINT('',(2.31,2.78,0.17875)); +#27290=CARTESIAN_POINT('',(1.95,2.78,0.17875)); +#27291=CARTESIAN_POINT('Origin',(1.95,3.5,0.17875)); +#27292=CARTESIAN_POINT('',(1.95,2.78,0.0521875)); +#27293=CARTESIAN_POINT('',(1.95,2.78,0.17875)); +#27294=CARTESIAN_POINT('',(1.95,2.78,0.0521875)); +#27295=CARTESIAN_POINT('Origin',(1.95,3.5,0.0521875)); +#27296=CARTESIAN_POINT('',(1.95,2.78,0.0521875)); +#27297=CARTESIAN_POINT('Origin',(1.95,2.78,0.0521875)); +#27298=CARTESIAN_POINT('Ctrl Pts',(2.85,2.66,0.8959375)); +#27299=CARTESIAN_POINT('Ctrl Pts',(2.85046294095324,2.58157535458383,0.8959375)); +#27300=CARTESIAN_POINT('Ctrl Pts',(2.78508633821904,2.42479705476619,0.8959375)); +#27301=CARTESIAN_POINT('Ctrl Pts',(2.55008886143632,2.32731890460588,0.8959375)); +#27302=CARTESIAN_POINT('Ctrl Pts',(2.31471961241795,2.42475975374484,0.8959375)); +#27303=CARTESIAN_POINT('Ctrl Pts',(2.21736245540471,2.65998334110068,0.8959375)); +#27304=CARTESIAN_POINT('Ctrl Pts',(2.31473880888662,2.89523642686802,0.8959375)); +#27305=CARTESIAN_POINT('Ctrl Pts',(2.55006268576889,2.99266545763911,0.8959375)); +#27306=CARTESIAN_POINT('Ctrl Pts',(2.78509088761544,2.89518661249146,0.8959375)); +#27307=CARTESIAN_POINT('Ctrl Pts',(2.85040966460245,2.73847045316616,0.8959375)); +#27308=CARTESIAN_POINT('Ctrl Pts',(2.85,2.66,0.8959375)); +#27309=CARTESIAN_POINT('Ctrl Pts',(2.85000000429331,2.66,0.8115625)); +#27310=CARTESIAN_POINT('Ctrl Pts',(2.85046294408374,2.58157535490211,0.8115625)); +#27311=CARTESIAN_POINT('Ctrl Pts',(2.7850863335086,2.42479705697718,0.8115625)); +#27312=CARTESIAN_POINT('Ctrl Pts',(2.55008886145495,2.3273188954962,0.8115625)); +#27313=CARTESIAN_POINT('Ctrl Pts',(2.31471961720523,2.42475975722811,0.8115625)); +#27314=CARTESIAN_POINT('Ctrl Pts',(2.21736244462954,2.65998334199245,0.8115625)); +#27315=CARTESIAN_POINT('Ctrl Pts',(2.31473881337346,2.89523642190462,0.8115625)); +#27316=CARTESIAN_POINT('Ctrl Pts',(2.55006268600252,2.99266546744605,0.8115625)); +#27317=CARTESIAN_POINT('Ctrl Pts',(2.78509088386566,2.89518660995557,0.811562499999999)); +#27318=CARTESIAN_POINT('Ctrl Pts',(2.85040966750055,2.73847045354442,0.8115625)); +#27319=CARTESIAN_POINT('Ctrl Pts',(2.85000000429331,2.66,0.8115625)); +#27320=CARTESIAN_POINT('Ctrl Pts',(2.73000000429331,2.66,0.8115625)); +#27321=CARTESIAN_POINT('Ctrl Pts',(2.73027776980274,2.61294521546205,0.8115625)); +#27322=CARTESIAN_POINT('Ctrl Pts',(2.69105179789799,2.51887823187127,0.8115625)); +#27323=CARTESIAN_POINT('Ctrl Pts',(2.5500533184551,2.46039133612173,0.8115625)); +#27324=CARTESIAN_POINT('Ctrl Pts',(2.40883176930834,2.51885585518128,0.8115625)); +#27325=CARTESIAN_POINT('Ctrl Pts',(2.35041746562898,2.65999000551511,0.8115625)); +#27326=CARTESIAN_POINT('Ctrl Pts',(2.40884328650473,2.80114185120543,0.8115625)); +#27327=CARTESIAN_POINT('Ctrl Pts',(2.55003761427341,2.85959928332409,0.8115625)); +#27328=CARTESIAN_POINT('Ctrl Pts',(2.6910545253507,2.80111196670436,0.8115625)); +#27329=CARTESIAN_POINT('Ctrl Pts',(2.7302458056059,2.70708226976248,0.8115625)); +#27330=CARTESIAN_POINT('Ctrl Pts',(2.73000000429331,2.66,0.8115625)); +#27331=CARTESIAN_POINT('Origin',(0.75,-2.5,0.07)); +#27332=CARTESIAN_POINT('',(0.75,-2.5,0.07)); +#27333=CARTESIAN_POINT('',(0.75,-2.5,0.25)); +#27334=CARTESIAN_POINT('',(0.75,-2.5,0.07)); +#27335=CARTESIAN_POINT('',(0.75,-1.78,0.25)); +#27336=CARTESIAN_POINT('',(0.75,-2.5,0.25)); +#27337=CARTESIAN_POINT('',(0.75,-1.78,0.07)); +#27338=CARTESIAN_POINT('',(0.75,-1.78,0.25)); +#27339=CARTESIAN_POINT('',(0.75,-1.78,0.07)); +#27340=CARTESIAN_POINT('Origin',(0.75,-2.5,0.25)); +#27341=CARTESIAN_POINT('',(1.11,-2.5,0.25)); +#27342=CARTESIAN_POINT('',(0.75,-2.5,0.25)); +#27343=CARTESIAN_POINT('',(1.11,-1.78,0.25)); +#27344=CARTESIAN_POINT('',(1.11,-2.5,0.25)); +#27345=CARTESIAN_POINT('',(1.11,-1.78,0.25)); +#27346=CARTESIAN_POINT('Origin',(1.11,-2.5,0.25)); +#27347=CARTESIAN_POINT('',(1.11,-2.5,0.07)); +#27348=CARTESIAN_POINT('',(1.11,-2.5,0.25)); +#27349=CARTESIAN_POINT('',(1.11,-1.78,0.07)); +#27350=CARTESIAN_POINT('',(1.11,-2.5,0.07)); +#27351=CARTESIAN_POINT('',(1.11,-1.78,0.07)); +#27352=CARTESIAN_POINT('Origin',(1.11,-2.5,0.07)); +#27353=CARTESIAN_POINT('',(1.11,-2.5,0.07)); +#27354=CARTESIAN_POINT('',(0.75,-1.78,0.07)); +#27355=CARTESIAN_POINT('Origin',(1.11,-2.5,0.07)); +#27356=CARTESIAN_POINT('Origin',(1.11,-1.78,0.07)); +#27357=CARTESIAN_POINT('Origin',(1.35,-2.5,0.07)); +#27358=CARTESIAN_POINT('',(1.35,-2.5,0.07)); +#27359=CARTESIAN_POINT('',(1.35,-2.5,0.25)); +#27360=CARTESIAN_POINT('',(1.35,-2.5,0.07)); +#27361=CARTESIAN_POINT('',(1.35,-1.78,0.25)); +#27362=CARTESIAN_POINT('',(1.35,-2.5,0.25)); +#27363=CARTESIAN_POINT('',(1.35,-1.78,0.07)); +#27364=CARTESIAN_POINT('',(1.35,-1.78,0.25)); +#27365=CARTESIAN_POINT('',(1.35,-1.78,0.07)); +#27366=CARTESIAN_POINT('Origin',(1.35,-2.5,0.25)); +#27367=CARTESIAN_POINT('',(1.71,-2.5,0.25)); +#27368=CARTESIAN_POINT('',(1.35,-2.5,0.25)); +#27369=CARTESIAN_POINT('',(1.71,-1.78,0.25)); +#27370=CARTESIAN_POINT('',(1.71,-2.5,0.25)); +#27371=CARTESIAN_POINT('',(1.71,-1.78,0.25)); +#27372=CARTESIAN_POINT('Origin',(1.71,-2.5,0.25)); +#27373=CARTESIAN_POINT('',(1.71,-2.5,0.07)); +#27374=CARTESIAN_POINT('',(1.71,-2.5,0.25)); +#27375=CARTESIAN_POINT('',(1.71,-1.78,0.07)); +#27376=CARTESIAN_POINT('',(1.71,-2.5,0.07)); +#27377=CARTESIAN_POINT('',(1.71,-1.78,0.07)); +#27378=CARTESIAN_POINT('Origin',(1.71,-2.5,0.07)); +#27379=CARTESIAN_POINT('',(1.71,-2.5,0.07)); +#27380=CARTESIAN_POINT('',(1.35,-1.78,0.07)); +#27381=CARTESIAN_POINT('Origin',(1.71,-2.5,0.07)); +#27382=CARTESIAN_POINT('Origin',(1.71,-1.78,0.07)); +#27383=CARTESIAN_POINT('Origin',(1.95,-2.5,0.07)); +#27384=CARTESIAN_POINT('',(1.95,-2.5,0.07)); +#27385=CARTESIAN_POINT('',(1.95,-2.5,0.25)); +#27386=CARTESIAN_POINT('',(1.95,-2.5,0.07)); +#27387=CARTESIAN_POINT('',(1.95,-1.78,0.25)); +#27388=CARTESIAN_POINT('',(1.95,-2.5,0.25)); +#27389=CARTESIAN_POINT('',(1.95,-1.78,0.07)); +#27390=CARTESIAN_POINT('',(1.95,-1.78,0.25)); +#27391=CARTESIAN_POINT('',(1.95,-1.78,0.07)); +#27392=CARTESIAN_POINT('Origin',(1.95,-2.5,0.25)); +#27393=CARTESIAN_POINT('',(2.31,-2.5,0.25)); +#27394=CARTESIAN_POINT('',(1.95,-2.5,0.25)); +#27395=CARTESIAN_POINT('',(2.31,-1.78,0.25)); +#27396=CARTESIAN_POINT('',(2.31,-2.5,0.25)); +#27397=CARTESIAN_POINT('',(2.31,-1.78,0.25)); +#27398=CARTESIAN_POINT('Origin',(2.31,-2.5,0.25)); +#27399=CARTESIAN_POINT('',(2.31,-2.5,0.07)); +#27400=CARTESIAN_POINT('',(2.31,-2.5,0.25)); +#27401=CARTESIAN_POINT('',(2.31,-1.78,0.07)); +#27402=CARTESIAN_POINT('',(2.31,-2.5,0.07)); +#27403=CARTESIAN_POINT('',(2.31,-1.78,0.07)); +#27404=CARTESIAN_POINT('Origin',(2.31,-2.5,0.07)); +#27405=CARTESIAN_POINT('',(2.31,-2.5,0.07)); +#27406=CARTESIAN_POINT('',(1.95,-1.78,0.07)); +#27407=CARTESIAN_POINT('Origin',(2.31,-2.5,0.07)); +#27408=CARTESIAN_POINT('Origin',(2.31,-1.78,0.07)); +#27409=CARTESIAN_POINT('Origin',(0.15,-2.5,0.07)); +#27410=CARTESIAN_POINT('',(0.15,-2.5,0.07)); +#27411=CARTESIAN_POINT('',(0.15,-2.5,0.25)); +#27412=CARTESIAN_POINT('',(0.15,-2.5,0.07)); +#27413=CARTESIAN_POINT('',(0.15,-1.78,0.25)); +#27414=CARTESIAN_POINT('',(0.15,-2.5,0.25)); +#27415=CARTESIAN_POINT('',(0.15,-1.78,0.07)); +#27416=CARTESIAN_POINT('',(0.15,-1.78,0.25)); +#27417=CARTESIAN_POINT('',(0.15,-1.78,0.07)); +#27418=CARTESIAN_POINT('Origin',(0.15,-2.5,0.25)); +#27419=CARTESIAN_POINT('',(0.51,-2.5,0.25)); +#27420=CARTESIAN_POINT('',(0.15,-2.5,0.25)); +#27421=CARTESIAN_POINT('',(0.51,-1.78,0.25)); +#27422=CARTESIAN_POINT('',(0.51,-2.5,0.25)); +#27423=CARTESIAN_POINT('',(0.51,-1.78,0.25)); +#27424=CARTESIAN_POINT('Origin',(0.51,-2.5,0.25)); +#27425=CARTESIAN_POINT('',(0.51,-2.5,0.07)); +#27426=CARTESIAN_POINT('',(0.51,-2.5,0.25)); +#27427=CARTESIAN_POINT('',(0.51,-1.78,0.07)); +#27428=CARTESIAN_POINT('',(0.51,-2.5,0.07)); +#27429=CARTESIAN_POINT('',(0.51,-1.78,0.07)); +#27430=CARTESIAN_POINT('Origin',(0.51,-2.5,0.07)); +#27431=CARTESIAN_POINT('',(0.51,-2.5,0.07)); +#27432=CARTESIAN_POINT('',(0.15,-1.78,0.07)); +#27433=CARTESIAN_POINT('Origin',(0.51,-2.5,0.07)); +#27434=CARTESIAN_POINT('Origin',(0.51,-1.78,0.07)); +#27435=CARTESIAN_POINT('Origin',(-0.45,-2.5,0.07)); +#27436=CARTESIAN_POINT('',(-0.45,-2.5,0.07)); +#27437=CARTESIAN_POINT('',(-0.45,-2.5,0.25)); +#27438=CARTESIAN_POINT('',(-0.45,-2.5,0.07)); +#27439=CARTESIAN_POINT('',(-0.45,-1.78,0.25)); +#27440=CARTESIAN_POINT('',(-0.45,-2.5,0.25)); +#27441=CARTESIAN_POINT('',(-0.45,-1.78,0.07)); +#27442=CARTESIAN_POINT('',(-0.45,-1.78,0.25)); +#27443=CARTESIAN_POINT('',(-0.45,-1.78,0.07)); +#27444=CARTESIAN_POINT('Origin',(-0.45,-2.5,0.25)); +#27445=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.25)); +#27446=CARTESIAN_POINT('',(-0.45,-2.5,0.25)); +#27447=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.25)); +#27448=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.25)); +#27449=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.25)); +#27450=CARTESIAN_POINT('Origin',(-0.0899999999999999,-2.5,0.25)); +#27451=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.07)); +#27452=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.25)); +#27453=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.07)); +#27454=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.07)); +#27455=CARTESIAN_POINT('',(-0.0899999999999999,-1.78,0.07)); +#27456=CARTESIAN_POINT('Origin',(-0.0899999999999999,-2.5,0.07)); +#27457=CARTESIAN_POINT('',(-0.0899999999999999,-2.5,0.07)); +#27458=CARTESIAN_POINT('',(-0.45,-1.78,0.07)); +#27459=CARTESIAN_POINT('Origin',(-0.0899999999999999,-2.5,0.07)); +#27460=CARTESIAN_POINT('Origin',(-0.0899999999999999,-1.78,0.07)); +#27461=CARTESIAN_POINT('Origin',(-1.05,-2.5,0.07)); +#27462=CARTESIAN_POINT('',(-1.05,-2.5,0.07)); +#27463=CARTESIAN_POINT('',(-1.05,-2.5,0.25)); +#27464=CARTESIAN_POINT('',(-1.05,-2.5,0.07)); +#27465=CARTESIAN_POINT('',(-1.05,-1.78,0.25)); +#27466=CARTESIAN_POINT('',(-1.05,-2.5,0.25)); +#27467=CARTESIAN_POINT('',(-1.05,-1.78,0.07)); +#27468=CARTESIAN_POINT('',(-1.05,-1.78,0.25)); +#27469=CARTESIAN_POINT('',(-1.05,-1.78,0.07)); +#27470=CARTESIAN_POINT('Origin',(-1.05,-2.5,0.25)); +#27471=CARTESIAN_POINT('',(-0.69,-2.5,0.25)); +#27472=CARTESIAN_POINT('',(-1.05,-2.5,0.25)); +#27473=CARTESIAN_POINT('',(-0.69,-1.78,0.25)); +#27474=CARTESIAN_POINT('',(-0.69,-2.5,0.25)); +#27475=CARTESIAN_POINT('',(-0.69,-1.78,0.25)); +#27476=CARTESIAN_POINT('Origin',(-0.69,-2.5,0.25)); +#27477=CARTESIAN_POINT('',(-0.69,-2.5,0.07)); +#27478=CARTESIAN_POINT('',(-0.69,-2.5,0.25)); +#27479=CARTESIAN_POINT('',(-0.69,-1.78,0.07)); +#27480=CARTESIAN_POINT('',(-0.69,-2.5,0.07)); +#27481=CARTESIAN_POINT('',(-0.69,-1.78,0.07)); +#27482=CARTESIAN_POINT('Origin',(-0.69,-2.5,0.07)); +#27483=CARTESIAN_POINT('',(-0.69,-2.5,0.07)); +#27484=CARTESIAN_POINT('',(-1.05,-1.78,0.07)); +#27485=CARTESIAN_POINT('Origin',(-0.69,-2.5,0.07)); +#27486=CARTESIAN_POINT('Origin',(-0.69,-1.78,0.07)); +#27487=CARTESIAN_POINT('Origin',(-1.65,-2.5,0.07)); +#27488=CARTESIAN_POINT('',(-1.65,-2.5,0.07)); +#27489=CARTESIAN_POINT('',(-1.65,-2.5,0.25)); +#27490=CARTESIAN_POINT('',(-1.65,-2.5,0.07)); +#27491=CARTESIAN_POINT('',(-1.65,-1.78,0.25)); +#27492=CARTESIAN_POINT('',(-1.65,-2.5,0.25)); +#27493=CARTESIAN_POINT('',(-1.65,-1.78,0.07)); +#27494=CARTESIAN_POINT('',(-1.65,-1.78,0.25)); +#27495=CARTESIAN_POINT('',(-1.65,-1.78,0.07)); +#27496=CARTESIAN_POINT('Origin',(-1.65,-2.5,0.25)); +#27497=CARTESIAN_POINT('',(-1.29,-2.5,0.25)); +#27498=CARTESIAN_POINT('',(-1.65,-2.5,0.25)); +#27499=CARTESIAN_POINT('',(-1.29,-1.78,0.25)); +#27500=CARTESIAN_POINT('',(-1.29,-2.5,0.25)); +#27501=CARTESIAN_POINT('',(-1.29,-1.78,0.25)); +#27502=CARTESIAN_POINT('Origin',(-1.29,-2.5,0.25)); +#27503=CARTESIAN_POINT('',(-1.29,-2.5,0.07)); +#27504=CARTESIAN_POINT('',(-1.29,-2.5,0.25)); +#27505=CARTESIAN_POINT('',(-1.29,-1.78,0.07)); +#27506=CARTESIAN_POINT('',(-1.29,-2.5,0.07)); +#27507=CARTESIAN_POINT('',(-1.29,-1.78,0.07)); +#27508=CARTESIAN_POINT('Origin',(-1.29,-2.5,0.07)); +#27509=CARTESIAN_POINT('',(-1.29,-2.5,0.07)); +#27510=CARTESIAN_POINT('',(-1.65,-1.78,0.07)); +#27511=CARTESIAN_POINT('Origin',(-1.29,-2.5,0.07)); +#27512=CARTESIAN_POINT('Origin',(-1.29,-1.78,0.07)); +#27513=CARTESIAN_POINT('Origin',(3.33,0.92,0.07)); +#27514=CARTESIAN_POINT('',(3.33,0.92,0.07)); +#27515=CARTESIAN_POINT('',(3.33,0.92,0.25)); +#27516=CARTESIAN_POINT('',(3.33,0.92,0.07)); +#27517=CARTESIAN_POINT('',(2.61,0.92,0.25)); +#27518=CARTESIAN_POINT('',(3.33,0.92,0.25)); +#27519=CARTESIAN_POINT('',(2.61,0.92,0.07)); +#27520=CARTESIAN_POINT('',(2.61,0.92,0.25)); +#27521=CARTESIAN_POINT('',(2.61,0.92,0.07)); +#27522=CARTESIAN_POINT('Origin',(3.33,0.92,0.25)); +#27523=CARTESIAN_POINT('',(3.33,1.28,0.25)); +#27524=CARTESIAN_POINT('',(3.33,0.92,0.25)); +#27525=CARTESIAN_POINT('',(2.61,1.28,0.25)); +#27526=CARTESIAN_POINT('',(3.33,1.28,0.25)); +#27527=CARTESIAN_POINT('',(2.61,1.28,0.25)); +#27528=CARTESIAN_POINT('Origin',(3.33,1.28,0.25)); +#27529=CARTESIAN_POINT('',(3.33,1.28,0.07)); +#27530=CARTESIAN_POINT('',(3.33,1.28,0.25)); +#27531=CARTESIAN_POINT('',(2.61,1.28,0.07)); +#27532=CARTESIAN_POINT('',(3.33,1.28,0.07)); +#27533=CARTESIAN_POINT('',(2.61,1.28,0.07)); +#27534=CARTESIAN_POINT('Origin',(3.33,1.28,0.07)); +#27535=CARTESIAN_POINT('',(3.33,1.28,0.07)); +#27536=CARTESIAN_POINT('',(2.61,0.92,0.07)); +#27537=CARTESIAN_POINT('Origin',(3.33,1.28,0.07)); +#27538=CARTESIAN_POINT('Origin',(2.61,1.28,0.07)); +#27539=CARTESIAN_POINT('Origin',(3.33,1.52,0.07)); +#27540=CARTESIAN_POINT('',(3.33,1.52,0.07)); +#27541=CARTESIAN_POINT('',(3.33,1.52,0.25)); +#27542=CARTESIAN_POINT('',(3.33,1.52,0.07)); +#27543=CARTESIAN_POINT('',(2.61,1.52,0.25)); +#27544=CARTESIAN_POINT('',(3.33,1.52,0.25)); +#27545=CARTESIAN_POINT('',(2.61,1.52,0.07)); +#27546=CARTESIAN_POINT('',(2.61,1.52,0.25)); +#27547=CARTESIAN_POINT('',(2.61,1.52,0.07)); +#27548=CARTESIAN_POINT('Origin',(3.33,1.52,0.25)); +#27549=CARTESIAN_POINT('',(3.33,1.88,0.25)); +#27550=CARTESIAN_POINT('',(3.33,1.52,0.25)); +#27551=CARTESIAN_POINT('',(2.61,1.88,0.25)); +#27552=CARTESIAN_POINT('',(3.33,1.88,0.25)); +#27553=CARTESIAN_POINT('',(2.61,1.88,0.25)); +#27554=CARTESIAN_POINT('Origin',(3.33,1.88,0.25)); +#27555=CARTESIAN_POINT('',(3.33,1.88,0.07)); +#27556=CARTESIAN_POINT('',(3.33,1.88,0.25)); +#27557=CARTESIAN_POINT('',(2.61,1.88,0.07)); +#27558=CARTESIAN_POINT('',(3.33,1.88,0.07)); +#27559=CARTESIAN_POINT('',(2.61,1.88,0.07)); +#27560=CARTESIAN_POINT('Origin',(3.33,1.88,0.07)); +#27561=CARTESIAN_POINT('',(3.33,1.88,0.07)); +#27562=CARTESIAN_POINT('',(2.61,1.52,0.07)); +#27563=CARTESIAN_POINT('Origin',(3.33,1.88,0.07)); +#27564=CARTESIAN_POINT('Origin',(2.61,1.88,0.07)); +#27565=CARTESIAN_POINT('Origin',(3.33,2.12,0.07)); +#27566=CARTESIAN_POINT('',(3.33,2.12,0.07)); +#27567=CARTESIAN_POINT('',(3.33,2.12,0.25)); +#27568=CARTESIAN_POINT('',(3.33,2.12,0.07)); +#27569=CARTESIAN_POINT('',(2.61,2.12,0.25)); +#27570=CARTESIAN_POINT('',(3.33,2.12,0.25)); +#27571=CARTESIAN_POINT('',(2.61,2.12,0.07)); +#27572=CARTESIAN_POINT('',(2.61,2.12,0.25)); +#27573=CARTESIAN_POINT('',(2.61,2.12,0.07)); +#27574=CARTESIAN_POINT('Origin',(3.33,2.12,0.25)); +#27575=CARTESIAN_POINT('',(3.33,2.48,0.25)); +#27576=CARTESIAN_POINT('',(3.33,2.12,0.25)); +#27577=CARTESIAN_POINT('',(2.61,2.48,0.25)); +#27578=CARTESIAN_POINT('',(3.33,2.48,0.25)); +#27579=CARTESIAN_POINT('',(2.61,2.48,0.25)); +#27580=CARTESIAN_POINT('Origin',(3.33,2.48,0.25)); +#27581=CARTESIAN_POINT('',(3.33,2.48,0.07)); +#27582=CARTESIAN_POINT('',(3.33,2.48,0.25)); +#27583=CARTESIAN_POINT('',(2.61,2.48,0.07)); +#27584=CARTESIAN_POINT('',(3.33,2.48,0.07)); +#27585=CARTESIAN_POINT('',(2.61,2.48,0.07)); +#27586=CARTESIAN_POINT('Origin',(3.33,2.48,0.07)); +#27587=CARTESIAN_POINT('',(3.33,2.48,0.07)); +#27588=CARTESIAN_POINT('',(2.61,2.12,0.07)); +#27589=CARTESIAN_POINT('Origin',(3.33,2.48,0.07)); +#27590=CARTESIAN_POINT('Origin',(2.61,2.48,0.07)); +#27591=CARTESIAN_POINT('Origin',(3.33,0.32,0.07)); +#27592=CARTESIAN_POINT('',(3.33,0.32,0.07)); +#27593=CARTESIAN_POINT('',(3.33,0.32,0.25)); +#27594=CARTESIAN_POINT('',(3.33,0.32,0.07)); +#27595=CARTESIAN_POINT('',(2.61,0.32,0.25)); +#27596=CARTESIAN_POINT('',(3.33,0.32,0.25)); +#27597=CARTESIAN_POINT('',(2.61,0.32,0.07)); +#27598=CARTESIAN_POINT('',(2.61,0.32,0.25)); +#27599=CARTESIAN_POINT('',(2.61,0.32,0.07)); +#27600=CARTESIAN_POINT('Origin',(3.33,0.32,0.25)); +#27601=CARTESIAN_POINT('',(3.33,0.68,0.25)); +#27602=CARTESIAN_POINT('',(3.33,0.32,0.25)); +#27603=CARTESIAN_POINT('',(2.61,0.68,0.25)); +#27604=CARTESIAN_POINT('',(3.33,0.68,0.25)); +#27605=CARTESIAN_POINT('',(2.61,0.68,0.25)); +#27606=CARTESIAN_POINT('Origin',(3.33,0.68,0.25)); +#27607=CARTESIAN_POINT('',(3.33,0.68,0.07)); +#27608=CARTESIAN_POINT('',(3.33,0.68,0.25)); +#27609=CARTESIAN_POINT('',(2.61,0.68,0.07)); +#27610=CARTESIAN_POINT('',(3.33,0.68,0.07)); +#27611=CARTESIAN_POINT('',(2.61,0.68,0.07)); +#27612=CARTESIAN_POINT('Origin',(3.33,0.68,0.07)); +#27613=CARTESIAN_POINT('',(3.33,0.68,0.07)); +#27614=CARTESIAN_POINT('',(2.61,0.32,0.07)); +#27615=CARTESIAN_POINT('Origin',(3.33,0.68,0.07)); +#27616=CARTESIAN_POINT('Origin',(2.61,0.68,0.07)); +#27617=CARTESIAN_POINT('Origin',(3.33,-0.28,0.07)); +#27618=CARTESIAN_POINT('',(3.33,-0.28,0.07)); +#27619=CARTESIAN_POINT('',(3.33,-0.28,0.25)); +#27620=CARTESIAN_POINT('',(3.33,-0.28,0.07)); +#27621=CARTESIAN_POINT('',(2.61,-0.28,0.25)); +#27622=CARTESIAN_POINT('',(3.33,-0.28,0.25)); +#27623=CARTESIAN_POINT('',(2.61,-0.28,0.07)); +#27624=CARTESIAN_POINT('',(2.61,-0.28,0.25)); +#27625=CARTESIAN_POINT('',(2.61,-0.28,0.07)); +#27626=CARTESIAN_POINT('Origin',(3.33,-0.28,0.25)); +#27627=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.25)); +#27628=CARTESIAN_POINT('',(3.33,-0.28,0.25)); +#27629=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.25)); +#27630=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.25)); +#27631=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.25)); +#27632=CARTESIAN_POINT('Origin',(3.33,0.0799999999999999,0.25)); +#27633=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.07)); +#27634=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.25)); +#27635=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.07)); +#27636=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.07)); +#27637=CARTESIAN_POINT('',(2.61,0.0799999999999999,0.07)); +#27638=CARTESIAN_POINT('Origin',(3.33,0.0799999999999999,0.07)); +#27639=CARTESIAN_POINT('',(3.33,0.0799999999999999,0.07)); +#27640=CARTESIAN_POINT('',(2.61,-0.28,0.07)); +#27641=CARTESIAN_POINT('Origin',(3.33,0.0799999999999999,0.07)); +#27642=CARTESIAN_POINT('Origin',(2.61,0.0799999999999999,0.07)); +#27643=CARTESIAN_POINT('Origin',(3.33,-0.88,0.07)); +#27644=CARTESIAN_POINT('',(3.33,-0.88,0.07)); +#27645=CARTESIAN_POINT('',(3.33,-0.88,0.25)); +#27646=CARTESIAN_POINT('',(3.33,-0.88,0.07)); +#27647=CARTESIAN_POINT('',(2.61,-0.88,0.25)); +#27648=CARTESIAN_POINT('',(3.33,-0.88,0.25)); +#27649=CARTESIAN_POINT('',(2.61,-0.88,0.07)); +#27650=CARTESIAN_POINT('',(2.61,-0.88,0.25)); +#27651=CARTESIAN_POINT('',(2.61,-0.88,0.07)); +#27652=CARTESIAN_POINT('Origin',(3.33,-0.88,0.25)); +#27653=CARTESIAN_POINT('',(3.33,-0.52,0.25)); +#27654=CARTESIAN_POINT('',(3.33,-0.88,0.25)); +#27655=CARTESIAN_POINT('',(2.61,-0.52,0.25)); +#27656=CARTESIAN_POINT('',(3.33,-0.52,0.25)); +#27657=CARTESIAN_POINT('',(2.61,-0.52,0.25)); +#27658=CARTESIAN_POINT('Origin',(3.33,-0.52,0.25)); +#27659=CARTESIAN_POINT('',(3.33,-0.52,0.07)); +#27660=CARTESIAN_POINT('',(3.33,-0.52,0.25)); +#27661=CARTESIAN_POINT('',(2.61,-0.52,0.07)); +#27662=CARTESIAN_POINT('',(3.33,-0.52,0.07)); +#27663=CARTESIAN_POINT('',(2.61,-0.52,0.07)); +#27664=CARTESIAN_POINT('Origin',(3.33,-0.52,0.07)); +#27665=CARTESIAN_POINT('',(3.33,-0.52,0.07)); +#27666=CARTESIAN_POINT('',(2.61,-0.88,0.07)); +#27667=CARTESIAN_POINT('Origin',(3.33,-0.52,0.07)); +#27668=CARTESIAN_POINT('Origin',(2.61,-0.52,0.07)); +#27669=CARTESIAN_POINT('Origin',(3.33,-1.48,0.07)); +#27670=CARTESIAN_POINT('',(3.33,-1.48,0.07)); +#27671=CARTESIAN_POINT('',(3.33,-1.48,0.25)); +#27672=CARTESIAN_POINT('',(3.33,-1.48,0.07)); +#27673=CARTESIAN_POINT('',(2.61,-1.48,0.25)); +#27674=CARTESIAN_POINT('',(3.33,-1.48,0.25)); +#27675=CARTESIAN_POINT('',(2.61,-1.48,0.07)); +#27676=CARTESIAN_POINT('',(2.61,-1.48,0.25)); +#27677=CARTESIAN_POINT('',(2.61,-1.48,0.07)); +#27678=CARTESIAN_POINT('Origin',(3.33,-1.48,0.25)); +#27679=CARTESIAN_POINT('',(3.33,-1.12,0.25)); +#27680=CARTESIAN_POINT('',(3.33,-1.48,0.25)); +#27681=CARTESIAN_POINT('',(2.61,-1.12,0.25)); +#27682=CARTESIAN_POINT('',(3.33,-1.12,0.25)); +#27683=CARTESIAN_POINT('',(2.61,-1.12,0.25)); +#27684=CARTESIAN_POINT('Origin',(3.33,-1.12,0.25)); +#27685=CARTESIAN_POINT('',(3.33,-1.12,0.07)); +#27686=CARTESIAN_POINT('',(3.33,-1.12,0.25)); +#27687=CARTESIAN_POINT('',(2.61,-1.12,0.07)); +#27688=CARTESIAN_POINT('',(3.33,-1.12,0.07)); +#27689=CARTESIAN_POINT('',(2.61,-1.12,0.07)); +#27690=CARTESIAN_POINT('Origin',(3.33,-1.12,0.07)); +#27691=CARTESIAN_POINT('',(3.33,-1.12,0.07)); +#27692=CARTESIAN_POINT('',(2.61,-1.48,0.07)); +#27693=CARTESIAN_POINT('Origin',(3.33,-1.12,0.07)); +#27694=CARTESIAN_POINT('Origin',(2.61,-1.12,0.07)); +#27695=CARTESIAN_POINT('Origin',(-2.67,0.68,0.07)); +#27696=CARTESIAN_POINT('',(-2.67,0.68,0.07)); +#27697=CARTESIAN_POINT('',(-2.67,0.68,0.25)); +#27698=CARTESIAN_POINT('',(-2.67,0.68,0.07)); +#27699=CARTESIAN_POINT('',(-1.95,0.68,0.25)); +#27700=CARTESIAN_POINT('',(-2.67,0.68,0.25)); +#27701=CARTESIAN_POINT('',(-1.95,0.68,0.07)); +#27702=CARTESIAN_POINT('',(-1.95,0.68,0.25)); +#27703=CARTESIAN_POINT('',(-1.95,0.68,0.07)); +#27704=CARTESIAN_POINT('Origin',(-2.67,0.68,0.25)); +#27705=CARTESIAN_POINT('',(-2.67,0.32,0.25)); +#27706=CARTESIAN_POINT('',(-2.67,0.68,0.25)); +#27707=CARTESIAN_POINT('',(-1.95,0.32,0.25)); +#27708=CARTESIAN_POINT('',(-2.67,0.32,0.25)); +#27709=CARTESIAN_POINT('',(-1.95,0.32,0.25)); +#27710=CARTESIAN_POINT('Origin',(-2.67,0.32,0.25)); +#27711=CARTESIAN_POINT('',(-2.67,0.32,0.07)); +#27712=CARTESIAN_POINT('',(-2.67,0.32,0.25)); +#27713=CARTESIAN_POINT('',(-1.95,0.32,0.07)); +#27714=CARTESIAN_POINT('',(-2.67,0.32,0.07)); +#27715=CARTESIAN_POINT('',(-1.95,0.32,0.07)); +#27716=CARTESIAN_POINT('Origin',(-2.67,0.32,0.07)); +#27717=CARTESIAN_POINT('',(-2.67,0.32,0.07)); +#27718=CARTESIAN_POINT('',(-1.95,0.68,0.07)); +#27719=CARTESIAN_POINT('Origin',(-2.67,0.32,0.07)); +#27720=CARTESIAN_POINT('Origin',(-1.95,0.32,0.07)); +#27721=CARTESIAN_POINT('Origin',(-2.67,0.0799999999999999,0.07)); +#27722=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.07)); +#27723=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.25)); +#27724=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.07)); +#27725=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.25)); +#27726=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.25)); +#27727=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.07)); +#27728=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.25)); +#27729=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.07)); +#27730=CARTESIAN_POINT('Origin',(-2.67,0.0799999999999999,0.25)); +#27731=CARTESIAN_POINT('',(-2.67,-0.28,0.25)); +#27732=CARTESIAN_POINT('',(-2.67,0.0799999999999999,0.25)); +#27733=CARTESIAN_POINT('',(-1.95,-0.28,0.25)); +#27734=CARTESIAN_POINT('',(-2.67,-0.28,0.25)); +#27735=CARTESIAN_POINT('',(-1.95,-0.28,0.25)); +#27736=CARTESIAN_POINT('Origin',(-2.67,-0.28,0.25)); +#27737=CARTESIAN_POINT('',(-2.67,-0.28,0.07)); +#27738=CARTESIAN_POINT('',(-2.67,-0.28,0.25)); +#27739=CARTESIAN_POINT('',(-1.95,-0.28,0.07)); +#27740=CARTESIAN_POINT('',(-2.67,-0.28,0.07)); +#27741=CARTESIAN_POINT('',(-1.95,-0.28,0.07)); +#27742=CARTESIAN_POINT('Origin',(-2.67,-0.28,0.07)); +#27743=CARTESIAN_POINT('',(-2.67,-0.28,0.07)); +#27744=CARTESIAN_POINT('',(-1.95,0.0799999999999999,0.07)); +#27745=CARTESIAN_POINT('Origin',(-2.67,-0.28,0.07)); +#27746=CARTESIAN_POINT('Origin',(-1.95,-0.28,0.07)); +#27747=CARTESIAN_POINT('Origin',(-2.67,-0.52,0.07)); +#27748=CARTESIAN_POINT('',(-2.67,-0.52,0.07)); +#27749=CARTESIAN_POINT('',(-2.67,-0.52,0.25)); +#27750=CARTESIAN_POINT('',(-2.67,-0.52,0.07)); +#27751=CARTESIAN_POINT('',(-1.95,-0.52,0.25)); +#27752=CARTESIAN_POINT('',(-2.67,-0.52,0.25)); +#27753=CARTESIAN_POINT('',(-1.95,-0.52,0.07)); +#27754=CARTESIAN_POINT('',(-1.95,-0.52,0.25)); +#27755=CARTESIAN_POINT('',(-1.95,-0.52,0.07)); +#27756=CARTESIAN_POINT('Origin',(-2.67,-0.52,0.25)); +#27757=CARTESIAN_POINT('',(-2.67,-0.88,0.25)); +#27758=CARTESIAN_POINT('',(-2.67,-0.52,0.25)); +#27759=CARTESIAN_POINT('',(-1.95,-0.88,0.25)); +#27760=CARTESIAN_POINT('',(-2.67,-0.88,0.25)); +#27761=CARTESIAN_POINT('',(-1.95,-0.88,0.25)); +#27762=CARTESIAN_POINT('Origin',(-2.67,-0.88,0.25)); +#27763=CARTESIAN_POINT('',(-2.67,-0.88,0.07)); +#27764=CARTESIAN_POINT('',(-2.67,-0.88,0.25)); +#27765=CARTESIAN_POINT('',(-1.95,-0.88,0.07)); +#27766=CARTESIAN_POINT('',(-2.67,-0.88,0.07)); +#27767=CARTESIAN_POINT('',(-1.95,-0.88,0.07)); +#27768=CARTESIAN_POINT('Origin',(-2.67,-0.88,0.07)); +#27769=CARTESIAN_POINT('',(-2.67,-0.88,0.07)); +#27770=CARTESIAN_POINT('',(-1.95,-0.52,0.07)); +#27771=CARTESIAN_POINT('Origin',(-2.67,-0.88,0.07)); +#27772=CARTESIAN_POINT('Origin',(-1.95,-0.88,0.07)); +#27773=CARTESIAN_POINT('Origin',(-2.67,-1.12,0.07)); +#27774=CARTESIAN_POINT('',(-2.67,-1.12,0.07)); +#27775=CARTESIAN_POINT('',(-2.67,-1.12,0.25)); +#27776=CARTESIAN_POINT('',(-2.67,-1.12,0.07)); +#27777=CARTESIAN_POINT('',(-1.95,-1.12,0.25)); +#27778=CARTESIAN_POINT('',(-2.67,-1.12,0.25)); +#27779=CARTESIAN_POINT('',(-1.95,-1.12,0.07)); +#27780=CARTESIAN_POINT('',(-1.95,-1.12,0.25)); +#27781=CARTESIAN_POINT('',(-1.95,-1.12,0.07)); +#27782=CARTESIAN_POINT('Origin',(-2.67,-1.12,0.25)); +#27783=CARTESIAN_POINT('',(-2.67,-1.48,0.25)); +#27784=CARTESIAN_POINT('',(-2.67,-1.12,0.25)); +#27785=CARTESIAN_POINT('',(-1.95,-1.48,0.25)); +#27786=CARTESIAN_POINT('',(-2.67,-1.48,0.25)); +#27787=CARTESIAN_POINT('',(-1.95,-1.48,0.25)); +#27788=CARTESIAN_POINT('Origin',(-2.67,-1.48,0.25)); +#27789=CARTESIAN_POINT('',(-2.67,-1.48,0.07)); +#27790=CARTESIAN_POINT('',(-2.67,-1.48,0.25)); +#27791=CARTESIAN_POINT('',(-1.95,-1.48,0.07)); +#27792=CARTESIAN_POINT('',(-2.67,-1.48,0.07)); +#27793=CARTESIAN_POINT('',(-1.95,-1.48,0.07)); +#27794=CARTESIAN_POINT('Origin',(-2.67,-1.48,0.07)); +#27795=CARTESIAN_POINT('',(-2.67,-1.48,0.07)); +#27796=CARTESIAN_POINT('',(-1.95,-1.12,0.07)); +#27797=CARTESIAN_POINT('Origin',(-2.67,-1.48,0.07)); +#27798=CARTESIAN_POINT('Origin',(-1.95,-1.48,0.07)); +#27799=CARTESIAN_POINT('Origin',(-2.67,1.28,0.07)); +#27800=CARTESIAN_POINT('',(-2.67,1.28,0.07)); +#27801=CARTESIAN_POINT('',(-2.67,1.28,0.25)); +#27802=CARTESIAN_POINT('',(-2.67,1.28,0.07)); +#27803=CARTESIAN_POINT('',(-1.95,1.28,0.25)); +#27804=CARTESIAN_POINT('',(-2.67,1.28,0.25)); +#27805=CARTESIAN_POINT('',(-1.95,1.28,0.07)); +#27806=CARTESIAN_POINT('',(-1.95,1.28,0.25)); +#27807=CARTESIAN_POINT('',(-1.95,1.28,0.07)); +#27808=CARTESIAN_POINT('Origin',(-2.67,1.28,0.25)); +#27809=CARTESIAN_POINT('',(-2.67,0.92,0.25)); +#27810=CARTESIAN_POINT('',(-2.67,1.28,0.25)); +#27811=CARTESIAN_POINT('',(-1.95,0.92,0.25)); +#27812=CARTESIAN_POINT('',(-2.67,0.92,0.25)); +#27813=CARTESIAN_POINT('',(-1.95,0.92,0.25)); +#27814=CARTESIAN_POINT('Origin',(-2.67,0.92,0.25)); +#27815=CARTESIAN_POINT('',(-2.67,0.92,0.07)); +#27816=CARTESIAN_POINT('',(-2.67,0.92,0.25)); +#27817=CARTESIAN_POINT('',(-1.95,0.92,0.07)); +#27818=CARTESIAN_POINT('',(-2.67,0.92,0.07)); +#27819=CARTESIAN_POINT('',(-1.95,0.92,0.07)); +#27820=CARTESIAN_POINT('Origin',(-2.67,0.92,0.07)); +#27821=CARTESIAN_POINT('',(-2.67,0.92,0.07)); +#27822=CARTESIAN_POINT('',(-1.95,1.28,0.07)); +#27823=CARTESIAN_POINT('Origin',(-2.67,0.92,0.07)); +#27824=CARTESIAN_POINT('Origin',(-1.95,0.92,0.07)); +#27825=CARTESIAN_POINT('Origin',(-2.67,1.88,0.07)); +#27826=CARTESIAN_POINT('',(-2.67,1.88,0.07)); +#27827=CARTESIAN_POINT('',(-2.67,1.88,0.25)); +#27828=CARTESIAN_POINT('',(-2.67,1.88,0.07)); +#27829=CARTESIAN_POINT('',(-1.95,1.88,0.25)); +#27830=CARTESIAN_POINT('',(-2.67,1.88,0.25)); +#27831=CARTESIAN_POINT('',(-1.95,1.88,0.07)); +#27832=CARTESIAN_POINT('',(-1.95,1.88,0.25)); +#27833=CARTESIAN_POINT('',(-1.95,1.88,0.07)); +#27834=CARTESIAN_POINT('Origin',(-2.67,1.88,0.25)); +#27835=CARTESIAN_POINT('',(-2.67,1.52,0.25)); +#27836=CARTESIAN_POINT('',(-2.67,1.88,0.25)); +#27837=CARTESIAN_POINT('',(-1.95,1.52,0.25)); +#27838=CARTESIAN_POINT('',(-2.67,1.52,0.25)); +#27839=CARTESIAN_POINT('',(-1.95,1.52,0.25)); +#27840=CARTESIAN_POINT('Origin',(-2.67,1.52,0.25)); +#27841=CARTESIAN_POINT('',(-2.67,1.52,0.07)); +#27842=CARTESIAN_POINT('',(-2.67,1.52,0.25)); +#27843=CARTESIAN_POINT('',(-1.95,1.52,0.07)); +#27844=CARTESIAN_POINT('',(-2.67,1.52,0.07)); +#27845=CARTESIAN_POINT('',(-1.95,1.52,0.07)); +#27846=CARTESIAN_POINT('Origin',(-2.67,1.52,0.07)); +#27847=CARTESIAN_POINT('',(-2.67,1.52,0.07)); +#27848=CARTESIAN_POINT('',(-1.95,1.88,0.07)); +#27849=CARTESIAN_POINT('Origin',(-2.67,1.52,0.07)); +#27850=CARTESIAN_POINT('Origin',(-1.95,1.52,0.07)); +#27851=CARTESIAN_POINT('Origin',(-2.67,2.48,0.07)); +#27852=CARTESIAN_POINT('',(-2.67,2.48,0.07)); +#27853=CARTESIAN_POINT('',(-2.67,2.48,0.25)); +#27854=CARTESIAN_POINT('',(-2.67,2.48,0.07)); +#27855=CARTESIAN_POINT('',(-1.95,2.48,0.25)); +#27856=CARTESIAN_POINT('',(-2.67,2.48,0.25)); +#27857=CARTESIAN_POINT('',(-1.95,2.48,0.07)); +#27858=CARTESIAN_POINT('',(-1.95,2.48,0.25)); +#27859=CARTESIAN_POINT('',(-1.95,2.48,0.07)); +#27860=CARTESIAN_POINT('Origin',(-2.67,2.48,0.25)); +#27861=CARTESIAN_POINT('',(-2.67,2.12,0.25)); +#27862=CARTESIAN_POINT('',(-2.67,2.48,0.25)); +#27863=CARTESIAN_POINT('',(-1.95,2.12,0.25)); +#27864=CARTESIAN_POINT('',(-2.67,2.12,0.25)); +#27865=CARTESIAN_POINT('',(-1.95,2.12,0.25)); +#27866=CARTESIAN_POINT('Origin',(-2.67,2.12,0.25)); +#27867=CARTESIAN_POINT('',(-2.67,2.12,0.07)); +#27868=CARTESIAN_POINT('',(-2.67,2.12,0.25)); +#27869=CARTESIAN_POINT('',(-1.95,2.12,0.07)); +#27870=CARTESIAN_POINT('',(-2.67,2.12,0.07)); +#27871=CARTESIAN_POINT('',(-1.95,2.12,0.07)); +#27872=CARTESIAN_POINT('Origin',(-2.67,2.12,0.07)); +#27873=CARTESIAN_POINT('',(-2.67,2.12,0.07)); +#27874=CARTESIAN_POINT('',(-1.95,2.48,0.07)); +#27875=CARTESIAN_POINT('Origin',(-2.67,2.12,0.07)); +#27876=CARTESIAN_POINT('Origin',(-1.95,2.12,0.07)); +#27877=CARTESIAN_POINT('Origin',(0.51,3.5,0.07)); +#27878=CARTESIAN_POINT('',(0.51,3.5,0.07)); +#27879=CARTESIAN_POINT('',(0.51,3.5,0.25)); +#27880=CARTESIAN_POINT('',(0.51,3.5,0.07)); +#27881=CARTESIAN_POINT('',(0.51,2.78,0.25)); +#27882=CARTESIAN_POINT('',(0.51,3.5,0.25)); +#27883=CARTESIAN_POINT('',(0.51,2.78,0.07)); +#27884=CARTESIAN_POINT('',(0.51,2.78,0.25)); +#27885=CARTESIAN_POINT('',(0.51,2.78,0.07)); +#27886=CARTESIAN_POINT('Origin',(0.51,3.5,0.25)); +#27887=CARTESIAN_POINT('',(0.15,3.5,0.25)); +#27888=CARTESIAN_POINT('',(0.51,3.5,0.25)); +#27889=CARTESIAN_POINT('',(0.15,2.78,0.25)); +#27890=CARTESIAN_POINT('',(0.15,3.5,0.25)); +#27891=CARTESIAN_POINT('',(0.15,2.78,0.25)); +#27892=CARTESIAN_POINT('Origin',(0.15,3.5,0.25)); +#27893=CARTESIAN_POINT('',(0.15,3.5,0.07)); +#27894=CARTESIAN_POINT('',(0.15,3.5,0.25)); +#27895=CARTESIAN_POINT('',(0.15,2.78,0.07)); +#27896=CARTESIAN_POINT('',(0.15,3.5,0.07)); +#27897=CARTESIAN_POINT('',(0.15,2.78,0.07)); +#27898=CARTESIAN_POINT('Origin',(0.15,3.5,0.07)); +#27899=CARTESIAN_POINT('',(0.15,3.5,0.07)); +#27900=CARTESIAN_POINT('',(0.51,2.78,0.07)); +#27901=CARTESIAN_POINT('Origin',(0.15,3.5,0.07)); +#27902=CARTESIAN_POINT('Origin',(0.15,2.78,0.07)); +#27903=CARTESIAN_POINT('Origin',(-0.0899999999999999,3.5,0.07)); +#27904=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.07)); +#27905=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.25)); +#27906=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.07)); +#27907=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.25)); +#27908=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.25)); +#27909=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.07)); +#27910=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.25)); +#27911=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.07)); +#27912=CARTESIAN_POINT('Origin',(-0.0899999999999999,3.5,0.25)); +#27913=CARTESIAN_POINT('',(-0.45,3.5,0.25)); +#27914=CARTESIAN_POINT('',(-0.0899999999999999,3.5,0.25)); +#27915=CARTESIAN_POINT('',(-0.45,2.78,0.25)); +#27916=CARTESIAN_POINT('',(-0.45,3.5,0.25)); +#27917=CARTESIAN_POINT('',(-0.45,2.78,0.25)); +#27918=CARTESIAN_POINT('Origin',(-0.45,3.5,0.25)); +#27919=CARTESIAN_POINT('',(-0.45,3.5,0.07)); +#27920=CARTESIAN_POINT('',(-0.45,3.5,0.25)); +#27921=CARTESIAN_POINT('',(-0.45,2.78,0.07)); +#27922=CARTESIAN_POINT('',(-0.45,3.5,0.07)); +#27923=CARTESIAN_POINT('',(-0.45,2.78,0.07)); +#27924=CARTESIAN_POINT('Origin',(-0.45,3.5,0.07)); +#27925=CARTESIAN_POINT('',(-0.45,3.5,0.07)); +#27926=CARTESIAN_POINT('',(-0.0899999999999999,2.78,0.07)); +#27927=CARTESIAN_POINT('Origin',(-0.45,3.5,0.07)); +#27928=CARTESIAN_POINT('Origin',(-0.45,2.78,0.07)); +#27929=CARTESIAN_POINT('Origin',(-0.69,3.5,0.07)); +#27930=CARTESIAN_POINT('',(-0.69,3.5,0.07)); +#27931=CARTESIAN_POINT('',(-0.69,3.5,0.25)); +#27932=CARTESIAN_POINT('',(-0.69,3.5,0.07)); +#27933=CARTESIAN_POINT('',(-0.69,2.78,0.25)); +#27934=CARTESIAN_POINT('',(-0.69,3.5,0.25)); +#27935=CARTESIAN_POINT('',(-0.69,2.78,0.07)); +#27936=CARTESIAN_POINT('',(-0.69,2.78,0.25)); +#27937=CARTESIAN_POINT('',(-0.69,2.78,0.07)); +#27938=CARTESIAN_POINT('Origin',(-0.69,3.5,0.25)); +#27939=CARTESIAN_POINT('',(-1.05,3.5,0.25)); +#27940=CARTESIAN_POINT('',(-0.69,3.5,0.25)); +#27941=CARTESIAN_POINT('',(-1.05,2.78,0.25)); +#27942=CARTESIAN_POINT('',(-1.05,3.5,0.25)); +#27943=CARTESIAN_POINT('',(-1.05,2.78,0.25)); +#27944=CARTESIAN_POINT('Origin',(-1.05,3.5,0.25)); +#27945=CARTESIAN_POINT('',(-1.05,3.5,0.07)); +#27946=CARTESIAN_POINT('',(-1.05,3.5,0.25)); +#27947=CARTESIAN_POINT('',(-1.05,2.78,0.07)); +#27948=CARTESIAN_POINT('',(-1.05,3.5,0.07)); +#27949=CARTESIAN_POINT('',(-1.05,2.78,0.07)); +#27950=CARTESIAN_POINT('Origin',(-1.05,3.5,0.07)); +#27951=CARTESIAN_POINT('',(-1.05,3.5,0.07)); +#27952=CARTESIAN_POINT('',(-0.69,2.78,0.07)); +#27953=CARTESIAN_POINT('Origin',(-1.05,3.5,0.07)); +#27954=CARTESIAN_POINT('Origin',(-1.05,2.78,0.07)); +#27955=CARTESIAN_POINT('Origin',(-1.29,3.5,0.07)); +#27956=CARTESIAN_POINT('',(-1.29,3.5,0.07)); +#27957=CARTESIAN_POINT('',(-1.29,3.5,0.25)); +#27958=CARTESIAN_POINT('',(-1.29,3.5,0.07)); +#27959=CARTESIAN_POINT('',(-1.29,2.78,0.25)); +#27960=CARTESIAN_POINT('',(-1.29,3.5,0.25)); +#27961=CARTESIAN_POINT('',(-1.29,2.78,0.07)); +#27962=CARTESIAN_POINT('',(-1.29,2.78,0.25)); +#27963=CARTESIAN_POINT('',(-1.29,2.78,0.07)); +#27964=CARTESIAN_POINT('Origin',(-1.29,3.5,0.25)); +#27965=CARTESIAN_POINT('',(-1.65,3.5,0.25)); +#27966=CARTESIAN_POINT('',(-1.29,3.5,0.25)); +#27967=CARTESIAN_POINT('',(-1.65,2.78,0.25)); +#27968=CARTESIAN_POINT('',(-1.65,3.5,0.25)); +#27969=CARTESIAN_POINT('',(-1.65,2.78,0.25)); +#27970=CARTESIAN_POINT('Origin',(-1.65,3.5,0.25)); +#27971=CARTESIAN_POINT('',(-1.65,3.5,0.07)); +#27972=CARTESIAN_POINT('',(-1.65,3.5,0.25)); +#27973=CARTESIAN_POINT('',(-1.65,2.78,0.07)); +#27974=CARTESIAN_POINT('',(-1.65,3.5,0.07)); +#27975=CARTESIAN_POINT('',(-1.65,2.78,0.07)); +#27976=CARTESIAN_POINT('Origin',(-1.65,3.5,0.07)); +#27977=CARTESIAN_POINT('',(-1.65,3.5,0.07)); +#27978=CARTESIAN_POINT('',(-1.29,2.78,0.07)); +#27979=CARTESIAN_POINT('Origin',(-1.65,3.5,0.07)); +#27980=CARTESIAN_POINT('Origin',(-1.65,2.78,0.07)); +#27981=CARTESIAN_POINT('Origin',(1.11,3.5,0.07)); +#27982=CARTESIAN_POINT('',(1.11,3.5,0.07)); +#27983=CARTESIAN_POINT('',(1.11,3.5,0.25)); +#27984=CARTESIAN_POINT('',(1.11,3.5,0.07)); +#27985=CARTESIAN_POINT('',(1.11,2.78,0.25)); +#27986=CARTESIAN_POINT('',(1.11,3.5,0.25)); +#27987=CARTESIAN_POINT('',(1.11,2.78,0.07)); +#27988=CARTESIAN_POINT('',(1.11,2.78,0.25)); +#27989=CARTESIAN_POINT('',(1.11,2.78,0.07)); +#27990=CARTESIAN_POINT('Origin',(1.11,3.5,0.25)); +#27991=CARTESIAN_POINT('',(0.75,3.5,0.25)); +#27992=CARTESIAN_POINT('',(1.11,3.5,0.25)); +#27993=CARTESIAN_POINT('',(0.75,2.78,0.25)); +#27994=CARTESIAN_POINT('',(0.75,3.5,0.25)); +#27995=CARTESIAN_POINT('',(0.75,2.78,0.25)); +#27996=CARTESIAN_POINT('Origin',(0.75,3.5,0.25)); +#27997=CARTESIAN_POINT('',(0.75,3.5,0.07)); +#27998=CARTESIAN_POINT('',(0.75,3.5,0.25)); +#27999=CARTESIAN_POINT('',(0.75,2.78,0.07)); +#28000=CARTESIAN_POINT('',(0.75,3.5,0.07)); +#28001=CARTESIAN_POINT('',(0.75,2.78,0.07)); +#28002=CARTESIAN_POINT('Origin',(0.75,3.5,0.07)); +#28003=CARTESIAN_POINT('',(0.75,3.5,0.07)); +#28004=CARTESIAN_POINT('',(1.11,2.78,0.07)); +#28005=CARTESIAN_POINT('Origin',(0.75,3.5,0.07)); +#28006=CARTESIAN_POINT('Origin',(0.75,2.78,0.07)); +#28007=CARTESIAN_POINT('Origin',(1.71,3.5,0.07)); +#28008=CARTESIAN_POINT('',(1.71,3.5,0.07)); +#28009=CARTESIAN_POINT('',(1.71,3.5,0.25)); +#28010=CARTESIAN_POINT('',(1.71,3.5,0.07)); +#28011=CARTESIAN_POINT('',(1.71,2.78,0.25)); +#28012=CARTESIAN_POINT('',(1.71,3.5,0.25)); +#28013=CARTESIAN_POINT('',(1.71,2.78,0.07)); +#28014=CARTESIAN_POINT('',(1.71,2.78,0.25)); +#28015=CARTESIAN_POINT('',(1.71,2.78,0.07)); +#28016=CARTESIAN_POINT('Origin',(1.71,3.5,0.25)); +#28017=CARTESIAN_POINT('',(1.35,3.5,0.25)); +#28018=CARTESIAN_POINT('',(1.71,3.5,0.25)); +#28019=CARTESIAN_POINT('',(1.35,2.78,0.25)); +#28020=CARTESIAN_POINT('',(1.35,3.5,0.25)); +#28021=CARTESIAN_POINT('',(1.35,2.78,0.25)); +#28022=CARTESIAN_POINT('Origin',(1.35,3.5,0.25)); +#28023=CARTESIAN_POINT('',(1.35,3.5,0.07)); +#28024=CARTESIAN_POINT('',(1.35,3.5,0.25)); +#28025=CARTESIAN_POINT('',(1.35,2.78,0.07)); +#28026=CARTESIAN_POINT('',(1.35,3.5,0.07)); +#28027=CARTESIAN_POINT('',(1.35,2.78,0.07)); +#28028=CARTESIAN_POINT('Origin',(1.35,3.5,0.07)); +#28029=CARTESIAN_POINT('',(1.35,3.5,0.07)); +#28030=CARTESIAN_POINT('',(1.71,2.78,0.07)); +#28031=CARTESIAN_POINT('Origin',(1.35,3.5,0.07)); +#28032=CARTESIAN_POINT('Origin',(1.35,2.78,0.07)); +#28033=CARTESIAN_POINT('Origin',(2.31,3.5,0.07)); +#28034=CARTESIAN_POINT('',(2.31,3.5,0.07)); +#28035=CARTESIAN_POINT('',(2.31,3.5,0.25)); +#28036=CARTESIAN_POINT('',(2.31,3.5,0.07)); +#28037=CARTESIAN_POINT('',(2.31,2.78,0.25)); +#28038=CARTESIAN_POINT('',(2.31,3.5,0.25)); +#28039=CARTESIAN_POINT('',(2.31,2.78,0.07)); +#28040=CARTESIAN_POINT('',(2.31,2.78,0.25)); +#28041=CARTESIAN_POINT('',(2.31,2.78,0.07)); +#28042=CARTESIAN_POINT('Origin',(2.31,3.5,0.25)); +#28043=CARTESIAN_POINT('',(1.95,3.5,0.25)); +#28044=CARTESIAN_POINT('',(2.31,3.5,0.25)); +#28045=CARTESIAN_POINT('',(1.95,2.78,0.25)); +#28046=CARTESIAN_POINT('',(1.95,3.5,0.25)); +#28047=CARTESIAN_POINT('',(1.95,2.78,0.25)); +#28048=CARTESIAN_POINT('Origin',(1.95,3.5,0.25)); +#28049=CARTESIAN_POINT('',(1.95,3.5,0.07)); +#28050=CARTESIAN_POINT('',(1.95,3.5,0.25)); +#28051=CARTESIAN_POINT('',(1.95,2.78,0.07)); +#28052=CARTESIAN_POINT('',(1.95,3.5,0.07)); +#28053=CARTESIAN_POINT('',(1.95,2.78,0.07)); +#28054=CARTESIAN_POINT('Origin',(1.95,3.5,0.07)); +#28055=CARTESIAN_POINT('',(1.95,3.5,0.07)); +#28056=CARTESIAN_POINT('',(2.31,2.78,0.07)); +#28057=CARTESIAN_POINT('Origin',(1.95,3.5,0.07)); +#28058=CARTESIAN_POINT('Origin',(1.95,2.78,0.07)); +#28059=CARTESIAN_POINT('Origin',(2.31,3.17,-0.05)); +#28060=CARTESIAN_POINT('',(2.31,2.96,-0.05)); +#28061=CARTESIAN_POINT('',(2.31,3.38,-0.05)); +#28062=CARTESIAN_POINT('',(2.31,2.96,-0.05)); +#28063=CARTESIAN_POINT('',(2.31,3.38,0.01)); +#28064=CARTESIAN_POINT('',(2.31,3.38,-0.05)); +#28065=CARTESIAN_POINT('',(2.31,2.96,0.01)); +#28066=CARTESIAN_POINT('',(2.31,3.38,0.01)); +#28067=CARTESIAN_POINT('',(2.31,2.96,0.01)); +#28068=CARTESIAN_POINT('Origin',(2.13,3.38,-0.05)); +#28069=CARTESIAN_POINT('',(1.95,3.38,-0.05)); +#28070=CARTESIAN_POINT('',(2.31,3.38,-0.05)); +#28071=CARTESIAN_POINT('',(1.95,3.38,0.01)); +#28072=CARTESIAN_POINT('',(1.95,3.38,-0.05)); +#28073=CARTESIAN_POINT('',(1.95,3.38,0.01)); +#28074=CARTESIAN_POINT('Origin',(1.95,3.17,-0.05)); +#28075=CARTESIAN_POINT('',(1.95,2.96,-0.05)); +#28076=CARTESIAN_POINT('',(1.95,3.38,-0.05)); +#28077=CARTESIAN_POINT('',(1.95,2.96,0.01)); +#28078=CARTESIAN_POINT('',(1.95,2.96,-0.05)); +#28079=CARTESIAN_POINT('',(1.95,2.96,0.01)); +#28080=CARTESIAN_POINT('Origin',(2.13,2.96,-0.05)); +#28081=CARTESIAN_POINT('Origin',(2.13,2.96,-0.05)); +#28082=CARTESIAN_POINT('Origin',(2.13,2.96,0.01)); +#28083=CARTESIAN_POINT('Origin',(2.355,3.455,-0.05)); +#28084=CARTESIAN_POINT('Origin',(2.355,3.455,0.01)); +#28085=CARTESIAN_POINT('Origin',(1.71,3.17,-0.05)); +#28086=CARTESIAN_POINT('',(1.71,2.96,-0.05)); +#28087=CARTESIAN_POINT('',(1.71,3.38,-0.05)); +#28088=CARTESIAN_POINT('',(1.71,2.96,-0.05)); +#28089=CARTESIAN_POINT('',(1.71,3.38,0.01)); +#28090=CARTESIAN_POINT('',(1.71,3.38,-0.05)); +#28091=CARTESIAN_POINT('',(1.71,2.96,0.01)); +#28092=CARTESIAN_POINT('',(1.71,3.38,0.01)); +#28093=CARTESIAN_POINT('',(1.71,2.96,0.01)); +#28094=CARTESIAN_POINT('Origin',(1.53,3.38,-0.05)); +#28095=CARTESIAN_POINT('',(1.35,3.38,-0.05)); +#28096=CARTESIAN_POINT('',(1.71,3.38,-0.05)); +#28097=CARTESIAN_POINT('',(1.35,3.38,0.01)); +#28098=CARTESIAN_POINT('',(1.35,3.38,-0.05)); +#28099=CARTESIAN_POINT('',(1.35,3.38,0.01)); +#28100=CARTESIAN_POINT('Origin',(1.35,3.17,-0.05)); +#28101=CARTESIAN_POINT('',(1.35,2.96,-0.05)); +#28102=CARTESIAN_POINT('',(1.35,3.38,-0.05)); +#28103=CARTESIAN_POINT('',(1.35,2.96,0.01)); +#28104=CARTESIAN_POINT('',(1.35,2.96,-0.05)); +#28105=CARTESIAN_POINT('',(1.35,2.96,0.01)); +#28106=CARTESIAN_POINT('Origin',(1.53,2.96,-0.05)); +#28107=CARTESIAN_POINT('Origin',(1.53,2.96,-0.05)); +#28108=CARTESIAN_POINT('Origin',(1.53,2.96,0.01)); +#28109=CARTESIAN_POINT('Origin',(1.755,3.455,-0.05)); +#28110=CARTESIAN_POINT('Origin',(1.755,3.455,0.01)); +#28111=CARTESIAN_POINT('Origin',(1.11,3.17,-0.05)); +#28112=CARTESIAN_POINT('',(1.11,2.96,-0.05)); +#28113=CARTESIAN_POINT('',(1.11,3.38,-0.05)); +#28114=CARTESIAN_POINT('',(1.11,2.96,-0.05)); +#28115=CARTESIAN_POINT('',(1.11,3.38,0.01)); +#28116=CARTESIAN_POINT('',(1.11,3.38,-0.05)); +#28117=CARTESIAN_POINT('',(1.11,2.96,0.01)); +#28118=CARTESIAN_POINT('',(1.11,3.38,0.01)); +#28119=CARTESIAN_POINT('',(1.11,2.96,0.01)); +#28120=CARTESIAN_POINT('Origin',(0.93,3.38,-0.05)); +#28121=CARTESIAN_POINT('',(0.75,3.38,-0.05)); +#28122=CARTESIAN_POINT('',(1.11,3.38,-0.05)); +#28123=CARTESIAN_POINT('',(0.75,3.38,0.01)); +#28124=CARTESIAN_POINT('',(0.75,3.38,-0.05)); +#28125=CARTESIAN_POINT('',(0.75,3.38,0.01)); +#28126=CARTESIAN_POINT('Origin',(0.75,3.17,-0.05)); +#28127=CARTESIAN_POINT('',(0.75,2.96,-0.05)); +#28128=CARTESIAN_POINT('',(0.75,3.38,-0.05)); +#28129=CARTESIAN_POINT('',(0.75,2.96,0.01)); +#28130=CARTESIAN_POINT('',(0.75,2.96,-0.05)); +#28131=CARTESIAN_POINT('',(0.75,2.96,0.01)); +#28132=CARTESIAN_POINT('Origin',(0.93,2.96,-0.05)); +#28133=CARTESIAN_POINT('Origin',(0.93,2.96,-0.05)); +#28134=CARTESIAN_POINT('Origin',(0.93,2.96,0.01)); +#28135=CARTESIAN_POINT('Origin',(1.155,3.455,-0.05)); +#28136=CARTESIAN_POINT('Origin',(1.155,3.455,0.01)); +#28137=CARTESIAN_POINT('Origin',(-1.29,3.17,-0.05)); +#28138=CARTESIAN_POINT('',(-1.29,2.96,-0.05)); +#28139=CARTESIAN_POINT('',(-1.29,3.38,-0.05)); +#28140=CARTESIAN_POINT('',(-1.29,2.96,-0.05)); +#28141=CARTESIAN_POINT('',(-1.29,3.38,0.01)); +#28142=CARTESIAN_POINT('',(-1.29,3.38,-0.05)); +#28143=CARTESIAN_POINT('',(-1.29,2.96,0.01)); +#28144=CARTESIAN_POINT('',(-1.29,3.38,0.01)); +#28145=CARTESIAN_POINT('',(-1.29,2.96,0.01)); +#28146=CARTESIAN_POINT('Origin',(-1.47,3.38,-0.05)); +#28147=CARTESIAN_POINT('',(-1.65,3.38,-0.05)); +#28148=CARTESIAN_POINT('',(-1.29,3.38,-0.05)); +#28149=CARTESIAN_POINT('',(-1.65,3.38,0.01)); +#28150=CARTESIAN_POINT('',(-1.65,3.38,-0.05)); +#28151=CARTESIAN_POINT('',(-1.65,3.38,0.01)); +#28152=CARTESIAN_POINT('Origin',(-1.65,3.17,-0.05)); +#28153=CARTESIAN_POINT('',(-1.65,2.96,-0.05)); +#28154=CARTESIAN_POINT('',(-1.65,3.38,-0.05)); +#28155=CARTESIAN_POINT('',(-1.65,2.96,0.01)); +#28156=CARTESIAN_POINT('',(-1.65,2.96,-0.05)); +#28157=CARTESIAN_POINT('',(-1.65,2.96,0.01)); +#28158=CARTESIAN_POINT('Origin',(-1.47,2.96,-0.05)); +#28159=CARTESIAN_POINT('Origin',(-1.47,2.96,-0.05)); +#28160=CARTESIAN_POINT('Origin',(-1.47,2.96,0.01)); +#28161=CARTESIAN_POINT('Origin',(-1.245,3.455,-0.05)); +#28162=CARTESIAN_POINT('Origin',(-1.245,3.455,0.01)); +#28163=CARTESIAN_POINT('Origin',(-0.69,3.17,-0.05)); +#28164=CARTESIAN_POINT('',(-0.69,2.96,-0.05)); +#28165=CARTESIAN_POINT('',(-0.69,3.38,-0.05)); +#28166=CARTESIAN_POINT('',(-0.69,2.96,-0.05)); +#28167=CARTESIAN_POINT('',(-0.69,3.38,0.01)); +#28168=CARTESIAN_POINT('',(-0.69,3.38,-0.05)); +#28169=CARTESIAN_POINT('',(-0.69,2.96,0.01)); +#28170=CARTESIAN_POINT('',(-0.69,3.38,0.01)); +#28171=CARTESIAN_POINT('',(-0.69,2.96,0.01)); +#28172=CARTESIAN_POINT('Origin',(-0.87,3.38,-0.05)); +#28173=CARTESIAN_POINT('',(-1.05,3.38,-0.05)); +#28174=CARTESIAN_POINT('',(-0.69,3.38,-0.05)); +#28175=CARTESIAN_POINT('',(-1.05,3.38,0.01)); +#28176=CARTESIAN_POINT('',(-1.05,3.38,-0.05)); +#28177=CARTESIAN_POINT('',(-1.05,3.38,0.01)); +#28178=CARTESIAN_POINT('Origin',(-1.05,3.17,-0.05)); +#28179=CARTESIAN_POINT('',(-1.05,2.96,-0.05)); +#28180=CARTESIAN_POINT('',(-1.05,3.38,-0.05)); +#28181=CARTESIAN_POINT('',(-1.05,2.96,0.01)); +#28182=CARTESIAN_POINT('',(-1.05,2.96,-0.05)); +#28183=CARTESIAN_POINT('',(-1.05,2.96,0.01)); +#28184=CARTESIAN_POINT('Origin',(-0.87,2.96,-0.05)); +#28185=CARTESIAN_POINT('Origin',(-0.87,2.96,-0.05)); +#28186=CARTESIAN_POINT('Origin',(-0.87,2.96,0.01)); +#28187=CARTESIAN_POINT('Origin',(-0.645,3.455,-0.05)); +#28188=CARTESIAN_POINT('Origin',(-0.645,3.455,0.01)); +#28189=CARTESIAN_POINT('Origin',(-0.0899999999999999,3.17,-0.05)); +#28190=CARTESIAN_POINT('',(-0.0899999999999999,2.96,-0.05)); +#28191=CARTESIAN_POINT('',(-0.0899999999999999,3.38,-0.05)); +#28192=CARTESIAN_POINT('',(-0.0899999999999999,2.96,-0.05)); +#28193=CARTESIAN_POINT('',(-0.0899999999999999,3.38,0.01)); +#28194=CARTESIAN_POINT('',(-0.0899999999999999,3.38,-0.05)); +#28195=CARTESIAN_POINT('',(-0.0899999999999999,2.96,0.01)); +#28196=CARTESIAN_POINT('',(-0.0899999999999999,3.38,0.01)); +#28197=CARTESIAN_POINT('',(-0.0899999999999999,2.96,0.01)); +#28198=CARTESIAN_POINT('Origin',(-0.27,3.38,-0.05)); +#28199=CARTESIAN_POINT('',(-0.45,3.38,-0.05)); +#28200=CARTESIAN_POINT('',(-0.0899999999999999,3.38,-0.05)); +#28201=CARTESIAN_POINT('',(-0.45,3.38,0.01)); +#28202=CARTESIAN_POINT('',(-0.45,3.38,-0.05)); +#28203=CARTESIAN_POINT('',(-0.45,3.38,0.01)); +#28204=CARTESIAN_POINT('Origin',(-0.45,3.17,-0.05)); +#28205=CARTESIAN_POINT('',(-0.45,2.96,-0.05)); +#28206=CARTESIAN_POINT('',(-0.45,3.38,-0.05)); +#28207=CARTESIAN_POINT('',(-0.45,2.96,0.01)); +#28208=CARTESIAN_POINT('',(-0.45,2.96,-0.05)); +#28209=CARTESIAN_POINT('',(-0.45,2.96,0.01)); +#28210=CARTESIAN_POINT('Origin',(-0.27,2.96,-0.05)); +#28211=CARTESIAN_POINT('Origin',(-0.27,2.96,-0.05)); +#28212=CARTESIAN_POINT('Origin',(-0.27,2.96,0.01)); +#28213=CARTESIAN_POINT('Origin',(-0.045,3.455,-0.05)); +#28214=CARTESIAN_POINT('Origin',(-0.045,3.455,0.01)); +#28215=CARTESIAN_POINT('Origin',(0.51,3.17,-0.05)); +#28216=CARTESIAN_POINT('',(0.51,2.96,-0.05)); +#28217=CARTESIAN_POINT('',(0.51,3.38,-0.05)); +#28218=CARTESIAN_POINT('',(0.51,2.96,-0.05)); +#28219=CARTESIAN_POINT('',(0.51,3.38,0.01)); +#28220=CARTESIAN_POINT('',(0.51,3.38,-0.05)); +#28221=CARTESIAN_POINT('',(0.51,2.96,0.01)); +#28222=CARTESIAN_POINT('',(0.51,3.38,0.01)); +#28223=CARTESIAN_POINT('',(0.51,2.96,0.01)); +#28224=CARTESIAN_POINT('Origin',(0.33,3.38,-0.05)); +#28225=CARTESIAN_POINT('',(0.15,3.38,-0.05)); +#28226=CARTESIAN_POINT('',(0.51,3.38,-0.05)); +#28227=CARTESIAN_POINT('',(0.15,3.38,0.01)); +#28228=CARTESIAN_POINT('',(0.15,3.38,-0.05)); +#28229=CARTESIAN_POINT('',(0.15,3.38,0.01)); +#28230=CARTESIAN_POINT('Origin',(0.15,3.17,-0.05)); +#28231=CARTESIAN_POINT('',(0.15,2.96,-0.05)); +#28232=CARTESIAN_POINT('',(0.15,3.38,-0.05)); +#28233=CARTESIAN_POINT('',(0.15,2.96,0.01)); +#28234=CARTESIAN_POINT('',(0.15,2.96,-0.05)); +#28235=CARTESIAN_POINT('',(0.15,2.96,0.01)); +#28236=CARTESIAN_POINT('Origin',(0.33,2.96,-0.05)); +#28237=CARTESIAN_POINT('Origin',(0.33,2.96,-0.05)); +#28238=CARTESIAN_POINT('Origin',(0.33,2.96,0.01)); +#28239=CARTESIAN_POINT('Origin',(0.555,3.455,-0.05)); +#28240=CARTESIAN_POINT('Origin',(0.555,3.455,0.01)); +#28241=CARTESIAN_POINT('Origin',(-2.34,2.48,-0.05)); +#28242=CARTESIAN_POINT('',(-2.13,2.48,-0.05)); +#28243=CARTESIAN_POINT('',(-2.55,2.48,-0.05)); +#28244=CARTESIAN_POINT('',(-2.13,2.48,-0.05)); +#28245=CARTESIAN_POINT('',(-2.55,2.48,0.01)); +#28246=CARTESIAN_POINT('',(-2.55,2.48,-0.05)); +#28247=CARTESIAN_POINT('',(-2.13,2.48,0.01)); +#28248=CARTESIAN_POINT('',(-2.55,2.48,0.01)); +#28249=CARTESIAN_POINT('',(-2.13,2.48,0.01)); +#28250=CARTESIAN_POINT('Origin',(-2.55,2.3,-0.05)); +#28251=CARTESIAN_POINT('',(-2.55,2.12,-0.05)); +#28252=CARTESIAN_POINT('',(-2.55,2.48,-0.05)); +#28253=CARTESIAN_POINT('',(-2.55,2.12,0.01)); +#28254=CARTESIAN_POINT('',(-2.55,2.12,-0.05)); +#28255=CARTESIAN_POINT('',(-2.55,2.12,0.01)); +#28256=CARTESIAN_POINT('Origin',(-2.34,2.12,-0.05)); +#28257=CARTESIAN_POINT('',(-2.13,2.12,-0.05)); +#28258=CARTESIAN_POINT('',(-2.55,2.12,-0.05)); +#28259=CARTESIAN_POINT('',(-2.13,2.12,0.01)); +#28260=CARTESIAN_POINT('',(-2.13,2.12,-0.05)); +#28261=CARTESIAN_POINT('',(-2.13,2.12,0.01)); +#28262=CARTESIAN_POINT('Origin',(-2.13,2.3,-0.05)); +#28263=CARTESIAN_POINT('Origin',(-2.13,2.3,-0.05)); +#28264=CARTESIAN_POINT('Origin',(-2.13,2.3,0.01)); +#28265=CARTESIAN_POINT('Origin',(-2.625,2.525,-0.05)); +#28266=CARTESIAN_POINT('Origin',(-2.625,2.525,0.01)); +#28267=CARTESIAN_POINT('Origin',(-2.34,1.88,-0.05)); +#28268=CARTESIAN_POINT('',(-2.13,1.88,-0.05)); +#28269=CARTESIAN_POINT('',(-2.55,1.88,-0.05)); +#28270=CARTESIAN_POINT('',(-2.13,1.88,-0.05)); +#28271=CARTESIAN_POINT('',(-2.55,1.88,0.01)); +#28272=CARTESIAN_POINT('',(-2.55,1.88,-0.05)); +#28273=CARTESIAN_POINT('',(-2.13,1.88,0.01)); +#28274=CARTESIAN_POINT('',(-2.55,1.88,0.01)); +#28275=CARTESIAN_POINT('',(-2.13,1.88,0.01)); +#28276=CARTESIAN_POINT('Origin',(-2.55,1.7,-0.05)); +#28277=CARTESIAN_POINT('',(-2.55,1.52,-0.05)); +#28278=CARTESIAN_POINT('',(-2.55,1.88,-0.05)); +#28279=CARTESIAN_POINT('',(-2.55,1.52,0.01)); +#28280=CARTESIAN_POINT('',(-2.55,1.52,-0.05)); +#28281=CARTESIAN_POINT('',(-2.55,1.52,0.01)); +#28282=CARTESIAN_POINT('Origin',(-2.34,1.52,-0.05)); +#28283=CARTESIAN_POINT('',(-2.13,1.52,-0.05)); +#28284=CARTESIAN_POINT('',(-2.55,1.52,-0.05)); +#28285=CARTESIAN_POINT('',(-2.13,1.52,0.01)); +#28286=CARTESIAN_POINT('',(-2.13,1.52,-0.05)); +#28287=CARTESIAN_POINT('',(-2.13,1.52,0.01)); +#28288=CARTESIAN_POINT('Origin',(-2.13,1.7,-0.05)); +#28289=CARTESIAN_POINT('Origin',(-2.13,1.7,-0.05)); +#28290=CARTESIAN_POINT('Origin',(-2.13,1.7,0.01)); +#28291=CARTESIAN_POINT('Origin',(-2.625,1.925,-0.05)); +#28292=CARTESIAN_POINT('Origin',(-2.625,1.925,0.01)); +#28293=CARTESIAN_POINT('Origin',(-2.34,1.28,-0.05)); +#28294=CARTESIAN_POINT('',(-2.13,1.28,-0.05)); +#28295=CARTESIAN_POINT('',(-2.55,1.28,-0.05)); +#28296=CARTESIAN_POINT('',(-2.13,1.28,-0.05)); +#28297=CARTESIAN_POINT('',(-2.55,1.28,0.01)); +#28298=CARTESIAN_POINT('',(-2.55,1.28,-0.05)); +#28299=CARTESIAN_POINT('',(-2.13,1.28,0.01)); +#28300=CARTESIAN_POINT('',(-2.55,1.28,0.01)); +#28301=CARTESIAN_POINT('',(-2.13,1.28,0.01)); +#28302=CARTESIAN_POINT('Origin',(-2.55,1.1,-0.05)); +#28303=CARTESIAN_POINT('',(-2.55,0.92,-0.05)); +#28304=CARTESIAN_POINT('',(-2.55,1.28,-0.05)); +#28305=CARTESIAN_POINT('',(-2.55,0.92,0.01)); +#28306=CARTESIAN_POINT('',(-2.55,0.92,-0.05)); +#28307=CARTESIAN_POINT('',(-2.55,0.92,0.01)); +#28308=CARTESIAN_POINT('Origin',(-2.34,0.92,-0.05)); +#28309=CARTESIAN_POINT('',(-2.13,0.92,-0.05)); +#28310=CARTESIAN_POINT('',(-2.55,0.92,-0.05)); +#28311=CARTESIAN_POINT('',(-2.13,0.92,0.01)); +#28312=CARTESIAN_POINT('',(-2.13,0.92,-0.05)); +#28313=CARTESIAN_POINT('',(-2.13,0.92,0.01)); +#28314=CARTESIAN_POINT('Origin',(-2.13,1.1,-0.05)); +#28315=CARTESIAN_POINT('Origin',(-2.13,1.1,-0.05)); +#28316=CARTESIAN_POINT('Origin',(-2.13,1.1,0.01)); +#28317=CARTESIAN_POINT('Origin',(-2.625,1.325,-0.05)); +#28318=CARTESIAN_POINT('Origin',(-2.625,1.325,0.01)); +#28319=CARTESIAN_POINT('Origin',(-2.34,-1.12,-0.05)); +#28320=CARTESIAN_POINT('',(-2.13,-1.12,-0.05)); +#28321=CARTESIAN_POINT('',(-2.55,-1.12,-0.05)); +#28322=CARTESIAN_POINT('',(-2.13,-1.12,-0.05)); +#28323=CARTESIAN_POINT('',(-2.55,-1.12,0.01)); +#28324=CARTESIAN_POINT('',(-2.55,-1.12,-0.05)); +#28325=CARTESIAN_POINT('',(-2.13,-1.12,0.01)); +#28326=CARTESIAN_POINT('',(-2.55,-1.12,0.01)); +#28327=CARTESIAN_POINT('',(-2.13,-1.12,0.01)); +#28328=CARTESIAN_POINT('Origin',(-2.55,-1.3,-0.05)); +#28329=CARTESIAN_POINT('',(-2.55,-1.48,-0.05)); +#28330=CARTESIAN_POINT('',(-2.55,-1.12,-0.05)); +#28331=CARTESIAN_POINT('',(-2.55,-1.48,0.01)); +#28332=CARTESIAN_POINT('',(-2.55,-1.48,-0.05)); +#28333=CARTESIAN_POINT('',(-2.55,-1.48,0.01)); +#28334=CARTESIAN_POINT('Origin',(-2.34,-1.48,-0.05)); +#28335=CARTESIAN_POINT('',(-2.13,-1.48,-0.05)); +#28336=CARTESIAN_POINT('',(-2.55,-1.48,-0.05)); +#28337=CARTESIAN_POINT('',(-2.13,-1.48,0.01)); +#28338=CARTESIAN_POINT('',(-2.13,-1.48,-0.05)); +#28339=CARTESIAN_POINT('',(-2.13,-1.48,0.01)); +#28340=CARTESIAN_POINT('Origin',(-2.13,-1.3,-0.05)); +#28341=CARTESIAN_POINT('Origin',(-2.13,-1.3,-0.05)); +#28342=CARTESIAN_POINT('Origin',(-2.13,-1.3,0.01)); +#28343=CARTESIAN_POINT('Origin',(-2.625,-1.075,-0.05)); +#28344=CARTESIAN_POINT('Origin',(-2.625,-1.075,0.01)); +#28345=CARTESIAN_POINT('Origin',(-2.34,-0.52,-0.05)); +#28346=CARTESIAN_POINT('',(-2.13,-0.52,-0.05)); +#28347=CARTESIAN_POINT('',(-2.55,-0.52,-0.05)); +#28348=CARTESIAN_POINT('',(-2.13,-0.52,-0.05)); +#28349=CARTESIAN_POINT('',(-2.55,-0.52,0.01)); +#28350=CARTESIAN_POINT('',(-2.55,-0.52,-0.05)); +#28351=CARTESIAN_POINT('',(-2.13,-0.52,0.01)); +#28352=CARTESIAN_POINT('',(-2.55,-0.52,0.01)); +#28353=CARTESIAN_POINT('',(-2.13,-0.52,0.01)); +#28354=CARTESIAN_POINT('Origin',(-2.55,-0.7,-0.05)); +#28355=CARTESIAN_POINT('',(-2.55,-0.88,-0.05)); +#28356=CARTESIAN_POINT('',(-2.55,-0.52,-0.05)); +#28357=CARTESIAN_POINT('',(-2.55,-0.88,0.01)); +#28358=CARTESIAN_POINT('',(-2.55,-0.88,-0.05)); +#28359=CARTESIAN_POINT('',(-2.55,-0.88,0.01)); +#28360=CARTESIAN_POINT('Origin',(-2.34,-0.88,-0.05)); +#28361=CARTESIAN_POINT('',(-2.13,-0.88,-0.05)); +#28362=CARTESIAN_POINT('',(-2.55,-0.88,-0.05)); +#28363=CARTESIAN_POINT('',(-2.13,-0.88,0.01)); +#28364=CARTESIAN_POINT('',(-2.13,-0.88,-0.05)); +#28365=CARTESIAN_POINT('',(-2.13,-0.88,0.01)); +#28366=CARTESIAN_POINT('Origin',(-2.13,-0.7,-0.05)); +#28367=CARTESIAN_POINT('Origin',(-2.13,-0.7,-0.05)); +#28368=CARTESIAN_POINT('Origin',(-2.13,-0.7,0.01)); +#28369=CARTESIAN_POINT('Origin',(-2.625,-0.475,-0.05)); +#28370=CARTESIAN_POINT('Origin',(-2.625,-0.475,0.01)); +#28371=CARTESIAN_POINT('Origin',(-2.34,0.0799999999999999,-0.05)); +#28372=CARTESIAN_POINT('',(-2.13,0.0799999999999999,-0.05)); +#28373=CARTESIAN_POINT('',(-2.55,0.0799999999999999,-0.05)); +#28374=CARTESIAN_POINT('',(-2.13,0.0799999999999999,-0.05)); +#28375=CARTESIAN_POINT('',(-2.55,0.0799999999999999,0.01)); +#28376=CARTESIAN_POINT('',(-2.55,0.0799999999999999,-0.05)); +#28377=CARTESIAN_POINT('',(-2.13,0.0799999999999999,0.01)); +#28378=CARTESIAN_POINT('',(-2.55,0.0799999999999999,0.01)); +#28379=CARTESIAN_POINT('',(-2.13,0.0799999999999999,0.01)); +#28380=CARTESIAN_POINT('Origin',(-2.55,-0.1,-0.05)); +#28381=CARTESIAN_POINT('',(-2.55,-0.28,-0.05)); +#28382=CARTESIAN_POINT('',(-2.55,0.0799999999999999,-0.05)); +#28383=CARTESIAN_POINT('',(-2.55,-0.28,0.01)); +#28384=CARTESIAN_POINT('',(-2.55,-0.28,-0.05)); +#28385=CARTESIAN_POINT('',(-2.55,-0.28,0.01)); +#28386=CARTESIAN_POINT('Origin',(-2.34,-0.28,-0.05)); +#28387=CARTESIAN_POINT('',(-2.13,-0.28,-0.05)); +#28388=CARTESIAN_POINT('',(-2.55,-0.28,-0.05)); +#28389=CARTESIAN_POINT('',(-2.13,-0.28,0.01)); +#28390=CARTESIAN_POINT('',(-2.13,-0.28,-0.05)); +#28391=CARTESIAN_POINT('',(-2.13,-0.28,0.01)); +#28392=CARTESIAN_POINT('Origin',(-2.13,-0.1,-0.05)); +#28393=CARTESIAN_POINT('Origin',(-2.13,-0.1,-0.05)); +#28394=CARTESIAN_POINT('Origin',(-2.13,-0.1,0.01)); +#28395=CARTESIAN_POINT('Origin',(-2.625,0.125,-0.05)); +#28396=CARTESIAN_POINT('Origin',(-2.625,0.125,0.01)); +#28397=CARTESIAN_POINT('Origin',(-2.34,0.68,-0.05)); +#28398=CARTESIAN_POINT('',(-2.13,0.68,-0.05)); +#28399=CARTESIAN_POINT('',(-2.55,0.68,-0.05)); +#28400=CARTESIAN_POINT('',(-2.13,0.68,-0.05)); +#28401=CARTESIAN_POINT('',(-2.55,0.68,0.01)); +#28402=CARTESIAN_POINT('',(-2.55,0.68,-0.05)); +#28403=CARTESIAN_POINT('',(-2.13,0.68,0.01)); +#28404=CARTESIAN_POINT('',(-2.55,0.68,0.01)); +#28405=CARTESIAN_POINT('',(-2.13,0.68,0.01)); +#28406=CARTESIAN_POINT('Origin',(-2.55,0.5,-0.05)); +#28407=CARTESIAN_POINT('',(-2.55,0.32,-0.05)); +#28408=CARTESIAN_POINT('',(-2.55,0.68,-0.05)); +#28409=CARTESIAN_POINT('',(-2.55,0.32,0.01)); +#28410=CARTESIAN_POINT('',(-2.55,0.32,-0.05)); +#28411=CARTESIAN_POINT('',(-2.55,0.32,0.01)); +#28412=CARTESIAN_POINT('Origin',(-2.34,0.32,-0.05)); +#28413=CARTESIAN_POINT('',(-2.13,0.32,-0.05)); +#28414=CARTESIAN_POINT('',(-2.55,0.32,-0.05)); +#28415=CARTESIAN_POINT('',(-2.13,0.32,0.01)); +#28416=CARTESIAN_POINT('',(-2.13,0.32,-0.05)); +#28417=CARTESIAN_POINT('',(-2.13,0.32,0.01)); +#28418=CARTESIAN_POINT('Origin',(-2.13,0.5,-0.05)); +#28419=CARTESIAN_POINT('Origin',(-2.13,0.5,-0.05)); +#28420=CARTESIAN_POINT('Origin',(-2.13,0.5,0.01)); +#28421=CARTESIAN_POINT('Origin',(-2.625,0.725,-0.05)); +#28422=CARTESIAN_POINT('Origin',(-2.625,0.725,0.01)); +#28423=CARTESIAN_POINT('Origin',(3.,-1.48,-0.05)); +#28424=CARTESIAN_POINT('',(2.79,-1.48,-0.05)); +#28425=CARTESIAN_POINT('',(3.21,-1.48,-0.05)); +#28426=CARTESIAN_POINT('',(2.79,-1.48,-0.05)); +#28427=CARTESIAN_POINT('',(3.21,-1.48,0.01)); +#28428=CARTESIAN_POINT('',(3.21,-1.48,-0.05)); +#28429=CARTESIAN_POINT('',(2.79,-1.48,0.01)); +#28430=CARTESIAN_POINT('',(3.21,-1.48,0.01)); +#28431=CARTESIAN_POINT('',(2.79,-1.48,0.01)); +#28432=CARTESIAN_POINT('Origin',(3.21,-1.3,-0.05)); +#28433=CARTESIAN_POINT('',(3.21,-1.12,-0.05)); +#28434=CARTESIAN_POINT('',(3.21,-1.48,-0.05)); +#28435=CARTESIAN_POINT('',(3.21,-1.12,0.01)); +#28436=CARTESIAN_POINT('',(3.21,-1.12,-0.05)); +#28437=CARTESIAN_POINT('',(3.21,-1.12,0.01)); +#28438=CARTESIAN_POINT('Origin',(3.,-1.12,-0.05)); +#28439=CARTESIAN_POINT('',(2.79,-1.12,-0.05)); +#28440=CARTESIAN_POINT('',(3.21,-1.12,-0.05)); +#28441=CARTESIAN_POINT('',(2.79,-1.12,0.01)); +#28442=CARTESIAN_POINT('',(2.79,-1.12,-0.05)); +#28443=CARTESIAN_POINT('',(2.79,-1.12,0.01)); +#28444=CARTESIAN_POINT('Origin',(2.79,-1.3,-0.05)); +#28445=CARTESIAN_POINT('Origin',(2.79,-1.3,-0.05)); +#28446=CARTESIAN_POINT('Origin',(2.79,-1.3,0.01)); +#28447=CARTESIAN_POINT('Origin',(3.285,-1.525,-0.05)); +#28448=CARTESIAN_POINT('Origin',(3.285,-1.525,0.01)); +#28449=CARTESIAN_POINT('Origin',(3.,-0.88,-0.05)); +#28450=CARTESIAN_POINT('',(2.79,-0.88,-0.05)); +#28451=CARTESIAN_POINT('',(3.21,-0.88,-0.05)); +#28452=CARTESIAN_POINT('',(2.79,-0.88,-0.05)); +#28453=CARTESIAN_POINT('',(3.21,-0.88,0.01)); +#28454=CARTESIAN_POINT('',(3.21,-0.88,-0.05)); +#28455=CARTESIAN_POINT('',(2.79,-0.88,0.01)); +#28456=CARTESIAN_POINT('',(3.21,-0.88,0.01)); +#28457=CARTESIAN_POINT('',(2.79,-0.88,0.01)); +#28458=CARTESIAN_POINT('Origin',(3.21,-0.7,-0.05)); +#28459=CARTESIAN_POINT('',(3.21,-0.52,-0.05)); +#28460=CARTESIAN_POINT('',(3.21,-0.88,-0.05)); +#28461=CARTESIAN_POINT('',(3.21,-0.52,0.01)); +#28462=CARTESIAN_POINT('',(3.21,-0.52,-0.05)); +#28463=CARTESIAN_POINT('',(3.21,-0.52,0.01)); +#28464=CARTESIAN_POINT('Origin',(3.,-0.52,-0.05)); +#28465=CARTESIAN_POINT('',(2.79,-0.52,-0.05)); +#28466=CARTESIAN_POINT('',(3.21,-0.52,-0.05)); +#28467=CARTESIAN_POINT('',(2.79,-0.52,0.01)); +#28468=CARTESIAN_POINT('',(2.79,-0.52,-0.05)); +#28469=CARTESIAN_POINT('',(2.79,-0.52,0.01)); +#28470=CARTESIAN_POINT('Origin',(2.79,-0.7,-0.05)); +#28471=CARTESIAN_POINT('Origin',(2.79,-0.7,-0.05)); +#28472=CARTESIAN_POINT('Origin',(2.79,-0.7,0.01)); +#28473=CARTESIAN_POINT('Origin',(3.285,-0.925,-0.05)); +#28474=CARTESIAN_POINT('Origin',(3.285,-0.925,0.01)); +#28475=CARTESIAN_POINT('Origin',(3.,-0.28,-0.05)); +#28476=CARTESIAN_POINT('',(2.79,-0.28,-0.05)); +#28477=CARTESIAN_POINT('',(3.21,-0.28,-0.05)); +#28478=CARTESIAN_POINT('',(2.79,-0.28,-0.05)); +#28479=CARTESIAN_POINT('',(3.21,-0.28,0.01)); +#28480=CARTESIAN_POINT('',(3.21,-0.28,-0.05)); +#28481=CARTESIAN_POINT('',(2.79,-0.28,0.01)); +#28482=CARTESIAN_POINT('',(3.21,-0.28,0.01)); +#28483=CARTESIAN_POINT('',(2.79,-0.28,0.01)); +#28484=CARTESIAN_POINT('Origin',(3.21,-0.1,-0.05)); +#28485=CARTESIAN_POINT('',(3.21,0.0799999999999999,-0.05)); +#28486=CARTESIAN_POINT('',(3.21,-0.28,-0.05)); +#28487=CARTESIAN_POINT('',(3.21,0.0799999999999999,0.01)); +#28488=CARTESIAN_POINT('',(3.21,0.0799999999999999,-0.05)); +#28489=CARTESIAN_POINT('',(3.21,0.0799999999999999,0.01)); +#28490=CARTESIAN_POINT('Origin',(3.,0.0799999999999999,-0.05)); +#28491=CARTESIAN_POINT('',(2.79,0.0799999999999999,-0.05)); +#28492=CARTESIAN_POINT('',(3.21,0.0799999999999999,-0.05)); +#28493=CARTESIAN_POINT('',(2.79,0.0799999999999999,0.01)); +#28494=CARTESIAN_POINT('',(2.79,0.0799999999999999,-0.05)); +#28495=CARTESIAN_POINT('',(2.79,0.0799999999999999,0.01)); +#28496=CARTESIAN_POINT('Origin',(2.79,-0.1,-0.05)); +#28497=CARTESIAN_POINT('Origin',(2.79,-0.1,-0.05)); +#28498=CARTESIAN_POINT('Origin',(2.79,-0.1,0.01)); +#28499=CARTESIAN_POINT('Origin',(3.285,-0.325,-0.05)); +#28500=CARTESIAN_POINT('Origin',(3.285,-0.325,0.01)); +#28501=CARTESIAN_POINT('Origin',(3.,0.32,-0.05)); +#28502=CARTESIAN_POINT('',(2.79,0.32,-0.05)); +#28503=CARTESIAN_POINT('',(3.21,0.32,-0.05)); +#28504=CARTESIAN_POINT('',(2.79,0.32,-0.05)); +#28505=CARTESIAN_POINT('',(3.21,0.32,0.01)); +#28506=CARTESIAN_POINT('',(3.21,0.32,-0.05)); +#28507=CARTESIAN_POINT('',(2.79,0.32,0.01)); +#28508=CARTESIAN_POINT('',(3.21,0.32,0.01)); +#28509=CARTESIAN_POINT('',(2.79,0.32,0.01)); +#28510=CARTESIAN_POINT('Origin',(3.21,0.5,-0.05)); +#28511=CARTESIAN_POINT('',(3.21,0.68,-0.05)); +#28512=CARTESIAN_POINT('',(3.21,0.32,-0.05)); +#28513=CARTESIAN_POINT('',(3.21,0.68,0.01)); +#28514=CARTESIAN_POINT('',(3.21,0.68,-0.05)); +#28515=CARTESIAN_POINT('',(3.21,0.68,0.01)); +#28516=CARTESIAN_POINT('Origin',(3.,0.68,-0.05)); +#28517=CARTESIAN_POINT('',(2.79,0.68,-0.05)); +#28518=CARTESIAN_POINT('',(3.21,0.68,-0.05)); +#28519=CARTESIAN_POINT('',(2.79,0.68,0.01)); +#28520=CARTESIAN_POINT('',(2.79,0.68,-0.05)); +#28521=CARTESIAN_POINT('',(2.79,0.68,0.01)); +#28522=CARTESIAN_POINT('Origin',(2.79,0.5,-0.05)); +#28523=CARTESIAN_POINT('Origin',(2.79,0.5,-0.05)); +#28524=CARTESIAN_POINT('Origin',(2.79,0.5,0.01)); +#28525=CARTESIAN_POINT('Origin',(3.285,0.275,-0.05)); +#28526=CARTESIAN_POINT('Origin',(3.285,0.275,0.01)); +#28527=CARTESIAN_POINT('Origin',(3.,2.12,-0.05)); +#28528=CARTESIAN_POINT('',(2.79,2.12,-0.05)); +#28529=CARTESIAN_POINT('',(3.21,2.12,-0.05)); +#28530=CARTESIAN_POINT('',(2.79,2.12,-0.05)); +#28531=CARTESIAN_POINT('',(3.21,2.12,0.01)); +#28532=CARTESIAN_POINT('',(3.21,2.12,-0.05)); +#28533=CARTESIAN_POINT('',(2.79,2.12,0.01)); +#28534=CARTESIAN_POINT('',(3.21,2.12,0.01)); +#28535=CARTESIAN_POINT('',(2.79,2.12,0.01)); +#28536=CARTESIAN_POINT('Origin',(3.21,2.3,-0.05)); +#28537=CARTESIAN_POINT('',(3.21,2.48,-0.05)); +#28538=CARTESIAN_POINT('',(3.21,2.12,-0.05)); +#28539=CARTESIAN_POINT('',(3.21,2.48,0.01)); +#28540=CARTESIAN_POINT('',(3.21,2.48,-0.05)); +#28541=CARTESIAN_POINT('',(3.21,2.48,0.01)); +#28542=CARTESIAN_POINT('Origin',(3.,2.48,-0.05)); +#28543=CARTESIAN_POINT('',(2.79,2.48,-0.05)); +#28544=CARTESIAN_POINT('',(3.21,2.48,-0.05)); +#28545=CARTESIAN_POINT('',(2.79,2.48,0.01)); +#28546=CARTESIAN_POINT('',(2.79,2.48,-0.05)); +#28547=CARTESIAN_POINT('',(2.79,2.48,0.01)); +#28548=CARTESIAN_POINT('Origin',(2.79,2.3,-0.05)); +#28549=CARTESIAN_POINT('Origin',(2.79,2.3,-0.05)); +#28550=CARTESIAN_POINT('Origin',(2.79,2.3,0.01)); +#28551=CARTESIAN_POINT('Origin',(3.285,2.075,-0.05)); +#28552=CARTESIAN_POINT('Origin',(3.285,2.075,0.01)); +#28553=CARTESIAN_POINT('Origin',(3.,1.52,-0.05)); +#28554=CARTESIAN_POINT('',(2.79,1.52,-0.05)); +#28555=CARTESIAN_POINT('',(3.21,1.52,-0.05)); +#28556=CARTESIAN_POINT('',(2.79,1.52,-0.05)); +#28557=CARTESIAN_POINT('',(3.21,1.52,0.01)); +#28558=CARTESIAN_POINT('',(3.21,1.52,-0.05)); +#28559=CARTESIAN_POINT('',(2.79,1.52,0.01)); +#28560=CARTESIAN_POINT('',(3.21,1.52,0.01)); +#28561=CARTESIAN_POINT('',(2.79,1.52,0.01)); +#28562=CARTESIAN_POINT('Origin',(3.21,1.7,-0.05)); +#28563=CARTESIAN_POINT('',(3.21,1.88,-0.05)); +#28564=CARTESIAN_POINT('',(3.21,1.52,-0.05)); +#28565=CARTESIAN_POINT('',(3.21,1.88,0.01)); +#28566=CARTESIAN_POINT('',(3.21,1.88,-0.05)); +#28567=CARTESIAN_POINT('',(3.21,1.88,0.01)); +#28568=CARTESIAN_POINT('Origin',(3.,1.88,-0.05)); +#28569=CARTESIAN_POINT('',(2.79,1.88,-0.05)); +#28570=CARTESIAN_POINT('',(3.21,1.88,-0.05)); +#28571=CARTESIAN_POINT('',(2.79,1.88,0.01)); +#28572=CARTESIAN_POINT('',(2.79,1.88,-0.05)); +#28573=CARTESIAN_POINT('',(2.79,1.88,0.01)); +#28574=CARTESIAN_POINT('Origin',(2.79,1.7,-0.05)); +#28575=CARTESIAN_POINT('Origin',(2.79,1.7,-0.05)); +#28576=CARTESIAN_POINT('Origin',(2.79,1.7,0.01)); +#28577=CARTESIAN_POINT('Origin',(3.285,1.475,-0.05)); +#28578=CARTESIAN_POINT('Origin',(3.285,1.475,0.01)); +#28579=CARTESIAN_POINT('Origin',(3.,0.92,-0.05)); +#28580=CARTESIAN_POINT('',(2.79,0.92,-0.05)); +#28581=CARTESIAN_POINT('',(3.21,0.92,-0.05)); +#28582=CARTESIAN_POINT('',(2.79,0.92,-0.05)); +#28583=CARTESIAN_POINT('',(3.21,0.92,0.01)); +#28584=CARTESIAN_POINT('',(3.21,0.92,-0.05)); +#28585=CARTESIAN_POINT('',(2.79,0.92,0.01)); +#28586=CARTESIAN_POINT('',(3.21,0.92,0.01)); +#28587=CARTESIAN_POINT('',(2.79,0.92,0.01)); +#28588=CARTESIAN_POINT('Origin',(3.21,1.1,-0.05)); +#28589=CARTESIAN_POINT('',(3.21,1.28,-0.05)); +#28590=CARTESIAN_POINT('',(3.21,0.92,-0.05)); +#28591=CARTESIAN_POINT('',(3.21,1.28,0.01)); +#28592=CARTESIAN_POINT('',(3.21,1.28,-0.05)); +#28593=CARTESIAN_POINT('',(3.21,1.28,0.01)); +#28594=CARTESIAN_POINT('Origin',(3.,1.28,-0.05)); +#28595=CARTESIAN_POINT('',(2.79,1.28,-0.05)); +#28596=CARTESIAN_POINT('',(3.21,1.28,-0.05)); +#28597=CARTESIAN_POINT('',(2.79,1.28,0.01)); +#28598=CARTESIAN_POINT('',(2.79,1.28,-0.05)); +#28599=CARTESIAN_POINT('',(2.79,1.28,0.01)); +#28600=CARTESIAN_POINT('Origin',(2.79,1.1,-0.05)); +#28601=CARTESIAN_POINT('Origin',(2.79,1.1,-0.05)); +#28602=CARTESIAN_POINT('Origin',(2.79,1.1,0.01)); +#28603=CARTESIAN_POINT('Origin',(3.285,0.875,-0.05)); +#28604=CARTESIAN_POINT('Origin',(3.285,0.875,0.01)); +#28605=CARTESIAN_POINT('Origin',(-1.65,-2.17,-0.05)); +#28606=CARTESIAN_POINT('',(-1.65,-1.96,-0.05)); +#28607=CARTESIAN_POINT('',(-1.65,-2.38,-0.05)); +#28608=CARTESIAN_POINT('',(-1.65,-1.96,-0.05)); +#28609=CARTESIAN_POINT('',(-1.65,-2.38,0.01)); +#28610=CARTESIAN_POINT('',(-1.65,-2.38,-0.05)); +#28611=CARTESIAN_POINT('',(-1.65,-1.96,0.01)); +#28612=CARTESIAN_POINT('',(-1.65,-2.38,0.01)); +#28613=CARTESIAN_POINT('',(-1.65,-1.96,0.01)); +#28614=CARTESIAN_POINT('Origin',(-1.47,-2.38,-0.05)); +#28615=CARTESIAN_POINT('',(-1.29,-2.38,-0.05)); +#28616=CARTESIAN_POINT('',(-1.65,-2.38,-0.05)); +#28617=CARTESIAN_POINT('',(-1.29,-2.38,0.01)); +#28618=CARTESIAN_POINT('',(-1.29,-2.38,-0.05)); +#28619=CARTESIAN_POINT('',(-1.29,-2.38,0.01)); +#28620=CARTESIAN_POINT('Origin',(-1.29,-2.17,-0.05)); +#28621=CARTESIAN_POINT('',(-1.29,-1.96,-0.05)); +#28622=CARTESIAN_POINT('',(-1.29,-2.38,-0.05)); +#28623=CARTESIAN_POINT('',(-1.29,-1.96,0.01)); +#28624=CARTESIAN_POINT('',(-1.29,-1.96,-0.05)); +#28625=CARTESIAN_POINT('',(-1.29,-1.96,0.01)); +#28626=CARTESIAN_POINT('Origin',(-1.47,-1.96,-0.05)); +#28627=CARTESIAN_POINT('Origin',(-1.47,-1.96,-0.05)); +#28628=CARTESIAN_POINT('Origin',(-1.47,-1.96,0.01)); +#28629=CARTESIAN_POINT('Origin',(-1.695,-2.455,-0.05)); +#28630=CARTESIAN_POINT('Origin',(-1.695,-2.455,0.01)); +#28631=CARTESIAN_POINT('Origin',(-1.05,-2.17,-0.05)); +#28632=CARTESIAN_POINT('',(-1.05,-1.96,-0.05)); +#28633=CARTESIAN_POINT('',(-1.05,-2.38,-0.05)); +#28634=CARTESIAN_POINT('',(-1.05,-1.96,-0.05)); +#28635=CARTESIAN_POINT('',(-1.05,-2.38,0.01)); +#28636=CARTESIAN_POINT('',(-1.05,-2.38,-0.05)); +#28637=CARTESIAN_POINT('',(-1.05,-1.96,0.01)); +#28638=CARTESIAN_POINT('',(-1.05,-2.38,0.01)); +#28639=CARTESIAN_POINT('',(-1.05,-1.96,0.01)); +#28640=CARTESIAN_POINT('Origin',(-0.87,-2.38,-0.05)); +#28641=CARTESIAN_POINT('',(-0.69,-2.38,-0.05)); +#28642=CARTESIAN_POINT('',(-1.05,-2.38,-0.05)); +#28643=CARTESIAN_POINT('',(-0.69,-2.38,0.01)); +#28644=CARTESIAN_POINT('',(-0.69,-2.38,-0.05)); +#28645=CARTESIAN_POINT('',(-0.69,-2.38,0.01)); +#28646=CARTESIAN_POINT('Origin',(-0.69,-2.17,-0.05)); +#28647=CARTESIAN_POINT('',(-0.69,-1.96,-0.05)); +#28648=CARTESIAN_POINT('',(-0.69,-2.38,-0.05)); +#28649=CARTESIAN_POINT('',(-0.69,-1.96,0.01)); +#28650=CARTESIAN_POINT('',(-0.69,-1.96,-0.05)); +#28651=CARTESIAN_POINT('',(-0.69,-1.96,0.01)); +#28652=CARTESIAN_POINT('Origin',(-0.87,-1.96,-0.05)); +#28653=CARTESIAN_POINT('Origin',(-0.87,-1.96,-0.05)); +#28654=CARTESIAN_POINT('Origin',(-0.87,-1.96,0.01)); +#28655=CARTESIAN_POINT('Origin',(-1.095,-2.455,-0.05)); +#28656=CARTESIAN_POINT('Origin',(-1.095,-2.455,0.01)); +#28657=CARTESIAN_POINT('Origin',(-0.45,-2.17,-0.05)); +#28658=CARTESIAN_POINT('',(-0.45,-1.96,-0.05)); +#28659=CARTESIAN_POINT('',(-0.45,-2.38,-0.05)); +#28660=CARTESIAN_POINT('',(-0.45,-1.96,-0.05)); +#28661=CARTESIAN_POINT('',(-0.45,-2.38,0.01)); +#28662=CARTESIAN_POINT('',(-0.45,-2.38,-0.05)); +#28663=CARTESIAN_POINT('',(-0.45,-1.96,0.01)); +#28664=CARTESIAN_POINT('',(-0.45,-2.38,0.01)); +#28665=CARTESIAN_POINT('',(-0.45,-1.96,0.01)); +#28666=CARTESIAN_POINT('Origin',(-0.27,-2.38,-0.05)); +#28667=CARTESIAN_POINT('',(-0.0899999999999999,-2.38,-0.05)); +#28668=CARTESIAN_POINT('',(-0.45,-2.38,-0.05)); +#28669=CARTESIAN_POINT('',(-0.0899999999999999,-2.38,0.01)); +#28670=CARTESIAN_POINT('',(-0.0899999999999999,-2.38,-0.05)); +#28671=CARTESIAN_POINT('',(-0.0899999999999999,-2.38,0.01)); +#28672=CARTESIAN_POINT('Origin',(-0.0899999999999999,-2.17,-0.05)); +#28673=CARTESIAN_POINT('',(-0.0899999999999999,-1.96,-0.05)); +#28674=CARTESIAN_POINT('',(-0.0899999999999999,-2.38,-0.05)); +#28675=CARTESIAN_POINT('',(-0.0899999999999999,-1.96,0.01)); +#28676=CARTESIAN_POINT('',(-0.0899999999999999,-1.96,-0.05)); +#28677=CARTESIAN_POINT('',(-0.0899999999999999,-1.96,0.01)); +#28678=CARTESIAN_POINT('Origin',(-0.27,-1.96,-0.05)); +#28679=CARTESIAN_POINT('Origin',(-0.27,-1.96,-0.05)); +#28680=CARTESIAN_POINT('Origin',(-0.27,-1.96,0.01)); +#28681=CARTESIAN_POINT('Origin',(-0.495,-2.455,-0.05)); +#28682=CARTESIAN_POINT('Origin',(-0.495,-2.455,0.01)); +#28683=CARTESIAN_POINT('Origin',(0.15,-2.17,-0.05)); +#28684=CARTESIAN_POINT('',(0.15,-1.96,-0.05)); +#28685=CARTESIAN_POINT('',(0.15,-2.38,-0.05)); +#28686=CARTESIAN_POINT('',(0.15,-1.96,-0.05)); +#28687=CARTESIAN_POINT('',(0.15,-2.38,0.01)); +#28688=CARTESIAN_POINT('',(0.15,-2.38,-0.05)); +#28689=CARTESIAN_POINT('',(0.15,-1.96,0.01)); +#28690=CARTESIAN_POINT('',(0.15,-2.38,0.01)); +#28691=CARTESIAN_POINT('',(0.15,-1.96,0.01)); +#28692=CARTESIAN_POINT('Origin',(0.33,-2.38,-0.05)); +#28693=CARTESIAN_POINT('',(0.51,-2.38,-0.05)); +#28694=CARTESIAN_POINT('',(0.15,-2.38,-0.05)); +#28695=CARTESIAN_POINT('',(0.51,-2.38,0.01)); +#28696=CARTESIAN_POINT('',(0.51,-2.38,-0.05)); +#28697=CARTESIAN_POINT('',(0.51,-2.38,0.01)); +#28698=CARTESIAN_POINT('Origin',(0.51,-2.17,-0.05)); +#28699=CARTESIAN_POINT('',(0.51,-1.96,-0.05)); +#28700=CARTESIAN_POINT('',(0.51,-2.38,-0.05)); +#28701=CARTESIAN_POINT('',(0.51,-1.96,0.01)); +#28702=CARTESIAN_POINT('',(0.51,-1.96,-0.05)); +#28703=CARTESIAN_POINT('',(0.51,-1.96,0.01)); +#28704=CARTESIAN_POINT('Origin',(0.33,-1.96,-0.05)); +#28705=CARTESIAN_POINT('Origin',(0.33,-1.96,-0.05)); +#28706=CARTESIAN_POINT('Origin',(0.33,-1.96,0.01)); +#28707=CARTESIAN_POINT('Origin',(0.105,-2.455,-0.05)); +#28708=CARTESIAN_POINT('Origin',(0.105,-2.455,0.01)); +#28709=CARTESIAN_POINT('Origin',(1.95,-2.17,-0.05)); +#28710=CARTESIAN_POINT('',(1.95,-1.96,-0.05)); +#28711=CARTESIAN_POINT('',(1.95,-2.38,-0.05)); +#28712=CARTESIAN_POINT('',(1.95,-1.96,-0.05)); +#28713=CARTESIAN_POINT('',(1.95,-2.38,0.01)); +#28714=CARTESIAN_POINT('',(1.95,-2.38,-0.05)); +#28715=CARTESIAN_POINT('',(1.95,-1.96,0.01)); +#28716=CARTESIAN_POINT('',(1.95,-2.38,0.01)); +#28717=CARTESIAN_POINT('',(1.95,-1.96,0.01)); +#28718=CARTESIAN_POINT('Origin',(2.13,-2.38,-0.05)); +#28719=CARTESIAN_POINT('',(2.31,-2.38,-0.05)); +#28720=CARTESIAN_POINT('',(1.95,-2.38,-0.05)); +#28721=CARTESIAN_POINT('',(2.31,-2.38,0.01)); +#28722=CARTESIAN_POINT('',(2.31,-2.38,-0.05)); +#28723=CARTESIAN_POINT('',(2.31,-2.38,0.01)); +#28724=CARTESIAN_POINT('Origin',(2.31,-2.17,-0.05)); +#28725=CARTESIAN_POINT('',(2.31,-1.96,-0.05)); +#28726=CARTESIAN_POINT('',(2.31,-2.38,-0.05)); +#28727=CARTESIAN_POINT('',(2.31,-1.96,0.01)); +#28728=CARTESIAN_POINT('',(2.31,-1.96,-0.05)); +#28729=CARTESIAN_POINT('',(2.31,-1.96,0.01)); +#28730=CARTESIAN_POINT('Origin',(2.13,-1.96,-0.05)); +#28731=CARTESIAN_POINT('Origin',(2.13,-1.96,-0.05)); +#28732=CARTESIAN_POINT('Origin',(2.13,-1.96,0.01)); +#28733=CARTESIAN_POINT('Origin',(1.905,-2.455,-0.05)); +#28734=CARTESIAN_POINT('Origin',(1.905,-2.455,0.01)); +#28735=CARTESIAN_POINT('Origin',(1.35,-2.17,-0.05)); +#28736=CARTESIAN_POINT('',(1.35,-1.96,-0.05)); +#28737=CARTESIAN_POINT('',(1.35,-2.38,-0.05)); +#28738=CARTESIAN_POINT('',(1.35,-1.96,-0.05)); +#28739=CARTESIAN_POINT('',(1.35,-2.38,0.01)); +#28740=CARTESIAN_POINT('',(1.35,-2.38,-0.05)); +#28741=CARTESIAN_POINT('',(1.35,-1.96,0.01)); +#28742=CARTESIAN_POINT('',(1.35,-2.38,0.01)); +#28743=CARTESIAN_POINT('',(1.35,-1.96,0.01)); +#28744=CARTESIAN_POINT('Origin',(1.53,-2.38,-0.05)); +#28745=CARTESIAN_POINT('',(1.71,-2.38,-0.05)); +#28746=CARTESIAN_POINT('',(1.35,-2.38,-0.05)); +#28747=CARTESIAN_POINT('',(1.71,-2.38,0.01)); +#28748=CARTESIAN_POINT('',(1.71,-2.38,-0.05)); +#28749=CARTESIAN_POINT('',(1.71,-2.38,0.01)); +#28750=CARTESIAN_POINT('Origin',(1.71,-2.17,-0.05)); +#28751=CARTESIAN_POINT('',(1.71,-1.96,-0.05)); +#28752=CARTESIAN_POINT('',(1.71,-2.38,-0.05)); +#28753=CARTESIAN_POINT('',(1.71,-1.96,0.01)); +#28754=CARTESIAN_POINT('',(1.71,-1.96,-0.05)); +#28755=CARTESIAN_POINT('',(1.71,-1.96,0.01)); +#28756=CARTESIAN_POINT('Origin',(1.53,-1.96,-0.05)); +#28757=CARTESIAN_POINT('Origin',(1.53,-1.96,-0.05)); +#28758=CARTESIAN_POINT('Origin',(1.53,-1.96,0.01)); +#28759=CARTESIAN_POINT('Origin',(1.305,-2.455,-0.05)); +#28760=CARTESIAN_POINT('Origin',(1.305,-2.455,0.01)); +#28761=CARTESIAN_POINT('Origin',(0.75,-2.17,-0.05)); +#28762=CARTESIAN_POINT('',(0.75,-1.96,-0.05)); +#28763=CARTESIAN_POINT('',(0.75,-2.38,-0.05)); +#28764=CARTESIAN_POINT('',(0.75,-1.96,-0.05)); +#28765=CARTESIAN_POINT('',(0.75,-2.38,0.01)); +#28766=CARTESIAN_POINT('',(0.75,-2.38,-0.05)); +#28767=CARTESIAN_POINT('',(0.75,-1.96,0.01)); +#28768=CARTESIAN_POINT('',(0.75,-2.38,0.01)); +#28769=CARTESIAN_POINT('',(0.75,-1.96,0.01)); +#28770=CARTESIAN_POINT('Origin',(0.93,-2.38,-0.05)); +#28771=CARTESIAN_POINT('',(1.11,-2.38,-0.05)); +#28772=CARTESIAN_POINT('',(0.75,-2.38,-0.05)); +#28773=CARTESIAN_POINT('',(1.11,-2.38,0.01)); +#28774=CARTESIAN_POINT('',(1.11,-2.38,-0.05)); +#28775=CARTESIAN_POINT('',(1.11,-2.38,0.01)); +#28776=CARTESIAN_POINT('Origin',(1.11,-2.17,-0.05)); +#28777=CARTESIAN_POINT('',(1.11,-1.96,-0.05)); +#28778=CARTESIAN_POINT('',(1.11,-2.38,-0.05)); +#28779=CARTESIAN_POINT('',(1.11,-1.96,0.01)); +#28780=CARTESIAN_POINT('',(1.11,-1.96,-0.05)); +#28781=CARTESIAN_POINT('',(1.11,-1.96,0.01)); +#28782=CARTESIAN_POINT('Origin',(0.93,-1.96,-0.05)); +#28783=CARTESIAN_POINT('Origin',(0.93,-1.96,-0.05)); +#28784=CARTESIAN_POINT('Origin',(0.93,-1.96,0.01)); +#28785=CARTESIAN_POINT('Origin',(0.705,-2.455,-0.05)); +#28786=CARTESIAN_POINT('Origin',(0.705,-2.455,0.01)); +#28787=CARTESIAN_POINT('Origin',(2.06786796564403,-1.45,-0.05)); +#28788=CARTESIAN_POINT('',(2.06786796564403,-1.45,-0.05)); +#28789=CARTESIAN_POINT('',(2.21786796564403,-1.6,-0.05)); +#28790=CARTESIAN_POINT('',(2.06786796564403,-1.45,-0.05)); +#28791=CARTESIAN_POINT('',(2.21786796564403,-1.6,0.01)); +#28792=CARTESIAN_POINT('',(2.21786796564403,-1.6,-0.05)); +#28793=CARTESIAN_POINT('',(2.06786796564403,-1.45,0.01)); +#28794=CARTESIAN_POINT('',(2.21786796564403,-1.6,0.01)); +#28795=CARTESIAN_POINT('',(2.06786796564403,-1.45,0.01)); +#28796=CARTESIAN_POINT('Origin',(2.21786796564403,-1.6,-0.05)); +#28797=CARTESIAN_POINT('',(2.43,-1.38786796564403,-0.05)); +#28798=CARTESIAN_POINT('',(2.21786796564403,-1.6,-0.05)); +#28799=CARTESIAN_POINT('',(2.43,-1.38786796564403,0.01)); +#28800=CARTESIAN_POINT('',(2.43,-1.38786796564403,-0.05)); +#28801=CARTESIAN_POINT('',(2.43,-1.38786796564403,0.01)); +#28802=CARTESIAN_POINT('Origin',(2.43,-1.38786796564403,-0.05)); +#28803=CARTESIAN_POINT('',(2.28,-1.23786796564403,-0.05)); +#28804=CARTESIAN_POINT('',(2.43,-1.38786796564403,-0.05)); +#28805=CARTESIAN_POINT('',(2.28,-1.23786796564403,0.01)); +#28806=CARTESIAN_POINT('',(2.28,-1.23786796564403,-0.05)); +#28807=CARTESIAN_POINT('',(2.28,-1.23786796564403,0.01)); +#28808=CARTESIAN_POINT('Origin',(2.28,-1.23786796564403,-0.05)); +#28809=CARTESIAN_POINT('',(2.28,2.23786796564403,-0.05)); +#28810=CARTESIAN_POINT('',(2.28,-1.23786796564403,-0.05)); +#28811=CARTESIAN_POINT('',(2.28,2.23786796564403,0.01)); +#28812=CARTESIAN_POINT('',(2.28,2.23786796564403,-0.05)); +#28813=CARTESIAN_POINT('',(2.28,2.23786796564403,0.01)); +#28814=CARTESIAN_POINT('Origin',(2.28,2.23786796564403,-0.05)); +#28815=CARTESIAN_POINT('',(2.06786796564403,2.45,-0.05)); +#28816=CARTESIAN_POINT('',(2.28,2.23786796564403,-0.05)); +#28817=CARTESIAN_POINT('',(2.06786796564403,2.45,0.01)); +#28818=CARTESIAN_POINT('',(2.06786796564403,2.45,-0.05)); +#28819=CARTESIAN_POINT('',(2.06786796564403,2.45,0.01)); +#28820=CARTESIAN_POINT('Origin',(2.06786796564403,2.45,-0.05)); +#28821=CARTESIAN_POINT('',(-1.40786796564403,2.45,-0.05)); +#28822=CARTESIAN_POINT('',(2.06786796564403,2.45,-0.05)); +#28823=CARTESIAN_POINT('',(-1.40786796564403,2.45,0.01)); +#28824=CARTESIAN_POINT('',(-1.40786796564403,2.45,-0.05)); +#28825=CARTESIAN_POINT('',(-1.40786796564403,2.45,0.01)); +#28826=CARTESIAN_POINT('Origin',(-1.40786796564403,2.45,-0.05)); +#28827=CARTESIAN_POINT('',(-1.55786796564403,2.6,-0.05)); +#28828=CARTESIAN_POINT('',(-1.40786796564403,2.45,-0.05)); +#28829=CARTESIAN_POINT('',(-1.55786796564403,2.6,0.01)); +#28830=CARTESIAN_POINT('',(-1.55786796564403,2.6,-0.05)); +#28831=CARTESIAN_POINT('',(-1.55786796564403,2.6,0.01)); +#28832=CARTESIAN_POINT('Origin',(-1.55786796564403,2.6,-0.05)); +#28833=CARTESIAN_POINT('',(-1.77,2.38786796564403,-0.05)); +#28834=CARTESIAN_POINT('',(-1.55786796564403,2.6,-0.05)); +#28835=CARTESIAN_POINT('',(-1.77,2.38786796564403,0.01)); +#28836=CARTESIAN_POINT('',(-1.77,2.38786796564403,-0.05)); +#28837=CARTESIAN_POINT('',(-1.77,2.38786796564403,0.01)); +#28838=CARTESIAN_POINT('Origin',(-1.77,2.38786796564403,-0.05)); +#28839=CARTESIAN_POINT('',(-1.62,2.23786796564403,-0.05)); +#28840=CARTESIAN_POINT('',(-1.77,2.38786796564403,-0.05)); +#28841=CARTESIAN_POINT('',(-1.62,2.23786796564403,0.01)); +#28842=CARTESIAN_POINT('',(-1.62,2.23786796564403,-0.05)); +#28843=CARTESIAN_POINT('',(-1.62,2.23786796564403,0.01)); +#28844=CARTESIAN_POINT('Origin',(-1.62,2.23786796564403,-0.05)); +#28845=CARTESIAN_POINT('',(-1.62,-1.23786796564403,-0.05)); +#28846=CARTESIAN_POINT('',(-1.62,2.23786796564403,-0.05)); +#28847=CARTESIAN_POINT('',(-1.62,-1.23786796564403,0.01)); +#28848=CARTESIAN_POINT('',(-1.62,-1.23786796564403,-0.05)); +#28849=CARTESIAN_POINT('',(-1.62,-1.23786796564403,0.01)); +#28850=CARTESIAN_POINT('Origin',(-1.62,-1.23786796564403,-0.05)); +#28851=CARTESIAN_POINT('',(-1.77,-1.38786796564403,-0.05)); +#28852=CARTESIAN_POINT('',(-1.62,-1.23786796564403,-0.05)); +#28853=CARTESIAN_POINT('',(-1.77,-1.38786796564403,0.01)); +#28854=CARTESIAN_POINT('',(-1.77,-1.38786796564403,-0.05)); +#28855=CARTESIAN_POINT('',(-1.77,-1.38786796564403,0.01)); +#28856=CARTESIAN_POINT('Origin',(-1.77,-1.38786796564403,-0.05)); +#28857=CARTESIAN_POINT('',(-1.55786796564403,-1.6,-0.05)); +#28858=CARTESIAN_POINT('',(-1.77,-1.38786796564403,-0.05)); +#28859=CARTESIAN_POINT('',(-1.55786796564403,-1.6,0.01)); +#28860=CARTESIAN_POINT('',(-1.55786796564403,-1.6,-0.05)); +#28861=CARTESIAN_POINT('',(-1.55786796564403,-1.6,0.01)); +#28862=CARTESIAN_POINT('Origin',(-1.55786796564403,-1.6,-0.05)); +#28863=CARTESIAN_POINT('',(-1.40786796564403,-1.45,-0.05)); +#28864=CARTESIAN_POINT('',(-1.55786796564403,-1.6,-0.05)); +#28865=CARTESIAN_POINT('',(-1.40786796564403,-1.45,0.01)); +#28866=CARTESIAN_POINT('',(-1.40786796564403,-1.45,-0.05)); +#28867=CARTESIAN_POINT('',(-1.40786796564403,-1.45,0.01)); +#28868=CARTESIAN_POINT('Origin',(-1.40786796564403,-1.45,-0.05)); +#28869=CARTESIAN_POINT('',(-1.40786796564403,-1.45,-0.05)); +#28870=CARTESIAN_POINT('',(2.06786796564403,-1.45,0.01)); +#28871=CARTESIAN_POINT('Origin',(-2.295,-2.125,-0.05)); +#28872=CARTESIAN_POINT('Origin',(-2.295,-2.125,0.01)); +#28873=CARTESIAN_POINT('',(0.,0.,0.)); +#28874=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.,-0.0999999977648258)); +#28875=CARTESIAN_POINT('',(1.73472347597681E-16,0.,0.245450713264415)); +#28876=CARTESIAN_POINT('',(0.245450713264416,0.,0.)); +#28877=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.,-0.0999999977648258)); +#28878=CARTESIAN_POINT('',(1.73472347597681E-16,0.3,0.245450713264415)); +#28879=CARTESIAN_POINT('',(0.,0.,0.245450713264416)); +#28880=CARTESIAN_POINT('',(0.245450713264416,0.3,0.)); +#28881=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.3,-0.0999999977648258)); +#28882=CARTESIAN_POINT('',(0.245450713264416,0.,0.)); +#28883=CARTESIAN_POINT('Origin',(0.122725356632208,0.3,0.122725356632208)); +#28884=CARTESIAN_POINT('',(0.235410199526543,0.3,0.)); +#28885=CARTESIAN_POINT('',(1.6613626783161,0.3,0.)); +#28886=CARTESIAN_POINT('',(3.46944695195361E-17,0.3,0.235410199526543)); +#28887=CARTESIAN_POINT('',(0.,0.3,0.0613626783161039)); +#28888=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.3,-0.0999999977648258)); +#28889=CARTESIAN_POINT('Origin',(3.29999992623925,0.,-0.0999999977648276)); +#28890=CARTESIAN_POINT('',(2.95661639963412,0.,-3.46944695195361E-17)); +#28891=CARTESIAN_POINT('',(3.2,0.,0.243383549669945)); +#28892=CARTESIAN_POINT('Origin',(3.29999992623925,0.,-0.0999999977648276)); +#28893=CARTESIAN_POINT('',(2.95661639963412,0.3,-3.46944695195361E-17)); +#28894=CARTESIAN_POINT('',(2.95661639963412,0.,0.)); +#28895=CARTESIAN_POINT('',(3.2,0.3,0.243383549669945)); +#28896=CARTESIAN_POINT('Origin',(3.29999992623925,0.3,-0.0999999977648276)); +#28897=CARTESIAN_POINT('',(3.2,0.,0.243383549669945)); +#28898=CARTESIAN_POINT('Origin',(3.07830819981706,0.3,0.121691774834973)); +#28899=CARTESIAN_POINT('',(3.2,0.3,0.23541022085134)); +#28900=CARTESIAN_POINT('',(3.2,0.3,1.31084588741749)); +#28901=CARTESIAN_POINT('',(2.96458972894788,0.3,6.93889390390723E-17)); +#28902=CARTESIAN_POINT('',(3.13915409990853,0.3,0.)); +#28903=CARTESIAN_POINT('Origin',(3.29999992623925,0.3,-0.0999999977648258)); +#28904=CARTESIAN_POINT('Origin',(3.29999992623925,0.,2.59999994188547)); +#28905=CARTESIAN_POINT('',(3.2,0.,2.25515336709183)); +#28906=CARTESIAN_POINT('',(2.95515335582999,0.,2.50000000052682)); +#28907=CARTESIAN_POINT('Origin',(3.29999992623925,0.,2.59999994188547)); +#28908=CARTESIAN_POINT('',(3.2,0.3,2.25515336709183)); +#28909=CARTESIAN_POINT('',(3.2,0.,2.25515336709183)); +#28910=CARTESIAN_POINT('',(2.95515335582999,0.3,2.50000000052682)); +#28911=CARTESIAN_POINT('Origin',(3.29999992623925,0.3,2.59999994188547)); +#28912=CARTESIAN_POINT('',(2.95515335598276,0.,2.5)); +#28913=CARTESIAN_POINT('Origin',(3.077576677915,0.3,2.37757668380933)); +#28914=CARTESIAN_POINT('',(2.96458971228788,0.3,2.5)); +#28915=CARTESIAN_POINT('',(1.5387883389575,0.3,2.5)); +#28916=CARTESIAN_POINT('',(3.2,0.3,2.26458972326931)); +#28917=CARTESIAN_POINT('',(3.2,0.3,2.43878834190466)); +#28918=CARTESIAN_POINT('Origin',(3.29999992623925,0.3,2.59999994188547)); +#28919=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.45,-0.0999999977648258)); +#28920=CARTESIAN_POINT('',(1.73472347597681E-17,0.45,0.235410199526543)); +#28921=CARTESIAN_POINT('',(0.,0.45,0.235410199526543)); +#28922=CARTESIAN_POINT('',(0.235410199526543,0.45,0.)); +#28923=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.45,-0.0999999977648258)); +#28924=CARTESIAN_POINT('',(0.235410199526543,0.45,0.)); +#28925=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#28926=CARTESIAN_POINT('',(0.,0.,2.26000010734424)); +#28927=CARTESIAN_POINT('',(0.,0.,2.5)); +#28928=CARTESIAN_POINT('',(0.,0.3,2.26000010734424)); +#28929=CARTESIAN_POINT('',(0.,0.,2.26000010734424)); +#28930=CARTESIAN_POINT('',(-1.38777878078145E-16,0.3,2.2645897445941)); +#28931=CARTESIAN_POINT('',(0.,0.3,1.19000006486382)); +#28932=CARTESIAN_POINT('',(-3.46944695195361E-17,0.45,2.2645897445941)); +#28933=CARTESIAN_POINT('',(0.,0.45,2.2645897445941)); +#28934=CARTESIAN_POINT('',(0.,0.45,2.5)); +#28935=CARTESIAN_POINT('Origin',(3.29999992623925,0.45,-0.0999999977648258)); +#28936=CARTESIAN_POINT('',(2.96458972894788,0.45,0.)); +#28937=CARTESIAN_POINT('',(2.96458972894788,0.45,0.)); +#28938=CARTESIAN_POINT('',(3.2,0.45,0.23541022085134)); +#28939=CARTESIAN_POINT('Origin',(3.29999992623925,0.45,-0.0999999977648258)); +#28940=CARTESIAN_POINT('',(3.2,0.45,0.23541022085134)); +#28941=CARTESIAN_POINT('Origin',(3.29999992623925,0.45,2.59999994188547)); +#28942=CARTESIAN_POINT('',(3.2,0.45,2.26458972326931)); +#28943=CARTESIAN_POINT('',(3.2,0.45,2.26458972326931)); +#28944=CARTESIAN_POINT('',(2.96458971228788,0.45,2.5)); +#28945=CARTESIAN_POINT('Origin',(3.29999992623925,0.45,2.59999994188547)); +#28946=CARTESIAN_POINT('',(2.96458971228788,0.45,2.5)); +#28947=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.,2.59999994188547)); +#28948=CARTESIAN_POINT('',(0.239999853904812,0.,2.50000000052719)); +#28949=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.,2.59999994188547)); +#28950=CARTESIAN_POINT('',(0.239999853904812,0.3,2.50000000052719)); +#28951=CARTESIAN_POINT('',(0.239999853211513,0.,2.5)); +#28952=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.3,2.59999994188547)); +#28953=CARTESIAN_POINT('Origin',(0.119999948975004,0.3,2.38000012972764)); +#28954=CARTESIAN_POINT('',(0.235410216186542,0.3,2.5)); +#28955=CARTESIAN_POINT('',(0.0599999744875021,0.3,2.5)); +#28956=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.3,2.59999994188547)); +#28957=CARTESIAN_POINT('Origin',(1.6,0.,1.25)); +#28958=CARTESIAN_POINT('',(0.,0.,0.)); +#28959=CARTESIAN_POINT('',(3.2,0.,0.)); +#28960=CARTESIAN_POINT('',(3.2,0.,2.5)); +#28961=CARTESIAN_POINT('Origin',(0.0683586115183765,0.55,0.798743698800394)); +#28962=CARTESIAN_POINT('',(0.0683586115183766,0.45,2.15251258908588)); +#28963=CARTESIAN_POINT('',(0.160010125417493,0.51,2.15251258908588)); +#28964=CARTESIAN_POINT('Origin',(0.0683586115183766,0.55,2.15251258908588)); +#28965=CARTESIAN_POINT('',(0.160010125417493,0.51,0.347487402038565)); +#28966=CARTESIAN_POINT('',(0.160010125417493,0.51,0.798743698800394)); +#28967=CARTESIAN_POINT('',(0.0683586115183765,0.45,0.347487402038565)); +#28968=CARTESIAN_POINT('Origin',(0.0683586115183765,0.55,0.347487402038565)); +#28969=CARTESIAN_POINT('',(0.0683586115183765,0.45,0.798743698800394)); +#28970=CARTESIAN_POINT('Origin',(0.347487396266168,0.55,0.347487402038565)); +#28971=CARTESIAN_POINT('',(0.347487396266168,0.51,0.160010131189889)); +#28972=CARTESIAN_POINT('',(0.347487396266168,0.45,0.0683586172907726)); +#28973=CARTESIAN_POINT('Origin',(0.347487396266168,0.55,0.0683586172907726)); +#28974=CARTESIAN_POINT('Origin',(0.347487396266168,0.45,0.347487402038565)); +#28975=CARTESIAN_POINT('Origin',(0.347487396266168,0.51,0.347487402038565)); +#28976=CARTESIAN_POINT('Origin',(2.22625628347559,0.55,0.0683586172907723)); +#28977=CARTESIAN_POINT('',(2.85251257921206,0.51,0.160010131189889)); +#28978=CARTESIAN_POINT('',(2.85251257921206,0.45,0.0683586172907721)); +#28979=CARTESIAN_POINT('Origin',(2.85251257921206,0.55,0.0683586172907721)); +#28980=CARTESIAN_POINT('',(2.22625628347559,0.45,0.0683586172907723)); +#28981=CARTESIAN_POINT('',(2.22625628347559,0.51,0.160010131189889)); +#28982=CARTESIAN_POINT('Origin',(2.85251257921206,0.55,0.347487402038565)); +#28983=CARTESIAN_POINT('',(3.03998985006074,0.51,0.347487402038565)); +#28984=CARTESIAN_POINT('',(3.13164136395986,0.45,0.347487402038565)); +#28985=CARTESIAN_POINT('Origin',(3.13164136395986,0.55,0.347487402038565)); +#28986=CARTESIAN_POINT('Origin',(2.85251257921206,0.45,0.347487402038565)); +#28987=CARTESIAN_POINT('Origin',(2.85251257921206,0.51,0.347487402038565)); +#28988=CARTESIAN_POINT('Origin',(3.13164136395986,0.55,1.70125629232405)); +#28989=CARTESIAN_POINT('',(3.03998985006074,0.51,2.15251258908588)); +#28990=CARTESIAN_POINT('',(3.13164136395986,0.45,2.15251258908588)); +#28991=CARTESIAN_POINT('Origin',(3.13164136395986,0.55,2.15251258908588)); +#28992=CARTESIAN_POINT('',(3.13164136395986,0.45,1.70125629232405)); +#28993=CARTESIAN_POINT('',(3.03998985006074,0.51,1.70125629232405)); +#28994=CARTESIAN_POINT('Origin',(2.85251257921206,0.55,2.15251258908588)); +#28995=CARTESIAN_POINT('',(2.85251257921206,0.51,2.33998985993456)); +#28996=CARTESIAN_POINT('',(2.85251257921206,0.45,2.43164137383368)); +#28997=CARTESIAN_POINT('Origin',(2.85251257921206,0.55,2.43164137383368)); +#28998=CARTESIAN_POINT('Origin',(2.85251257921206,0.45,2.15251258908588)); +#28999=CARTESIAN_POINT('Origin',(2.85251257921206,0.51,2.15251258908588)); +#29000=CARTESIAN_POINT('Origin',(0.973743692002642,0.55,2.43164137383368)); +#29001=CARTESIAN_POINT('',(0.347487396266168,0.51,2.33998985993456)); +#29002=CARTESIAN_POINT('',(0.347487396266168,0.45,2.43164137383368)); +#29003=CARTESIAN_POINT('Origin',(0.347487396266168,0.55,2.43164137383368)); +#29004=CARTESIAN_POINT('',(0.973743692002642,0.45,2.43164137383368)); +#29005=CARTESIAN_POINT('',(0.973743692002642,0.51,2.33998985993456)); +#29006=CARTESIAN_POINT('Origin',(0.347487396266168,0.55,2.15251258908588)); +#29007=CARTESIAN_POINT('Origin',(0.347487396266168,0.45,2.15251258908588)); +#29008=CARTESIAN_POINT('Origin',(0.347487396266168,0.51,2.15251258908588)); +#29009=CARTESIAN_POINT('Ctrl Pts',(3.03998985006074,0.509999999999994,0.34748740203857)); +#29010=CARTESIAN_POINT('Ctrl Pts',(3.03998985006074,0.510000000000001,0.160010131189891)); +#29011=CARTESIAN_POINT('Ctrl Pts',(2.85251257921206,0.51,0.160010131189889)); +#29012=CARTESIAN_POINT('Ctrl Pts',(3.00071062981826,0.600000000000001,0.347487402038574)); +#29013=CARTESIAN_POINT('Ctrl Pts',(3.00071062981826,0.600000000000007,0.199289351432373)); +#29014=CARTESIAN_POINT('Ctrl Pts',(2.85251257921206,0.600000000000006,0.199289351432371)); +#29015=CARTESIAN_POINT('Ctrl Pts',(2.90251257921206,0.599999999999999,0.347487402038574)); +#29016=CARTESIAN_POINT('Ctrl Pts',(2.90251257921206,0.600000000000001,0.297487402038575)); +#29017=CARTESIAN_POINT('Ctrl Pts',(2.85251257921206,0.600000000000001,0.297487402038575)); +#29018=CARTESIAN_POINT('',(2.85251257921206,0.6,0.297487402038564)); +#29019=CARTESIAN_POINT('Origin',(2.85251257921206,0.45,0.297487402038564)); +#29020=CARTESIAN_POINT('',(2.90251257921206,0.6,0.347487402038565)); +#29021=CARTESIAN_POINT('Origin',(2.85251257921206,0.6,0.347487402038565)); +#29022=CARTESIAN_POINT('Origin',(2.90251257921206,0.45,0.347487402038565)); +#29023=CARTESIAN_POINT('Origin',(2.22625628347559,0.45,0.297487402038564)); +#29024=CARTESIAN_POINT('',(0.347487396266168,0.6,0.297487402038565)); +#29025=CARTESIAN_POINT('Origin',(0.347487396266168,0.45,0.297487402038565)); +#29026=CARTESIAN_POINT('',(2.22625628347559,0.6,0.297487402038564)); +#29027=CARTESIAN_POINT('Ctrl Pts',(0.347487396266188,0.509999999999987, +0.160010131189882)); +#29028=CARTESIAN_POINT('Ctrl Pts',(0.160010125417505,0.510000000000019, +0.160010131189903)); +#29029=CARTESIAN_POINT('Ctrl Pts',(0.160010125417484,0.509999999999984, +0.347487402038586)); +#29030=CARTESIAN_POINT('Ctrl Pts',(0.347487396266204,0.600000000000002, +0.199289351432359)); +#29031=CARTESIAN_POINT('Ctrl Pts',(0.199289345659976,0.600000000000027, +0.199289351432375)); +#29032=CARTESIAN_POINT('Ctrl Pts',(0.199289345659959,0.599999999999999, +0.347487402038603)); +#29033=CARTESIAN_POINT('Ctrl Pts',(0.347487396266204,0.599999999999998, +0.297487402038568)); +#29034=CARTESIAN_POINT('Ctrl Pts',(0.297487396266174,0.600000000000006, +0.297487402038573)); +#29035=CARTESIAN_POINT('Ctrl Pts',(0.297487396266168,0.599999999999997, +0.347487402038603)); +#29036=CARTESIAN_POINT('',(0.297487396266168,0.6,0.347487402038565)); +#29037=CARTESIAN_POINT('Origin',(0.297487396266168,0.45,0.347487402038565)); +#29038=CARTESIAN_POINT('Origin',(0.347487396266168,0.6,0.347487402038565)); +#29039=CARTESIAN_POINT('Origin',(0.297487396266168,0.45,0.798743698800394)); +#29040=CARTESIAN_POINT('',(0.297487396266169,0.6,2.15251258908588)); +#29041=CARTESIAN_POINT('Origin',(0.297487396266169,0.45,2.15251258908588)); +#29042=CARTESIAN_POINT('',(0.297487396266168,0.6,0.798743698800394)); +#29043=CARTESIAN_POINT('Origin',(1.6,0.45,1.25)); +#29044=CARTESIAN_POINT('',(0.235410216186542,0.45,2.5)); +#29045=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.45,2.59999994188547)); +#29046=CARTESIAN_POINT('',(3.2,0.45,2.5)); +#29047=CARTESIAN_POINT('',(3.2,0.45,0.)); +#29048=CARTESIAN_POINT('',(0.,0.45,0.)); +#29049=CARTESIAN_POINT('Origin',(0.973743692002642,0.45,2.20251258908588)); +#29050=CARTESIAN_POINT('',(2.85251257921206,0.6,2.20251258908588)); +#29051=CARTESIAN_POINT('Origin',(2.85251257921206,0.45,2.20251258908588)); +#29052=CARTESIAN_POINT('',(0.347487396266168,0.6,2.20251258908588)); +#29053=CARTESIAN_POINT('',(0.973743692002642,0.6,2.20251258908588)); +#29054=CARTESIAN_POINT('Origin',(0.347487396266168,0.45,2.20251258908588)); +#29055=CARTESIAN_POINT('Ctrl Pts',(2.85251257921206,0.51,2.33998985993456)); +#29056=CARTESIAN_POINT('Ctrl Pts',(3.03998985006074,0.509999999999999,2.33998985993456)); +#29057=CARTESIAN_POINT('Ctrl Pts',(3.03998985006074,0.509999999999997,2.15251258908587)); +#29058=CARTESIAN_POINT('Ctrl Pts',(2.85251257921206,0.600000000000004,2.30071063969208)); +#29059=CARTESIAN_POINT('Ctrl Pts',(3.00071062981826,0.600000000000003,2.30071063969207)); +#29060=CARTESIAN_POINT('Ctrl Pts',(3.00071062981826,0.600000000000002,2.15251258908587)); +#29061=CARTESIAN_POINT('Ctrl Pts',(2.85251257921206,0.6,2.20251258908588)); +#29062=CARTESIAN_POINT('Ctrl Pts',(2.90251257921206,0.6,2.20251258908587)); +#29063=CARTESIAN_POINT('Ctrl Pts',(2.90251257921206,0.599999999999999,2.15251258908587)); +#29064=CARTESIAN_POINT('',(2.90251257921206,0.6,2.15251258908588)); +#29065=CARTESIAN_POINT('Origin',(2.90251257921206,0.45,2.15251258908588)); +#29066=CARTESIAN_POINT('Origin',(2.85251257921206,0.6,2.15251258908588)); +#29067=CARTESIAN_POINT('Origin',(2.90251257921206,0.45,1.70125629232405)); +#29068=CARTESIAN_POINT('',(2.90251257921206,0.6,1.70125629232405)); +#29069=CARTESIAN_POINT('Ctrl Pts',(0.160010125417493,0.51,2.15251258908589)); +#29070=CARTESIAN_POINT('Ctrl Pts',(0.160010125417476,0.509999999999991, +2.33998985993458)); +#29071=CARTESIAN_POINT('Ctrl Pts',(0.347487396266173,0.509999999999992, +2.33998985993457)); +#29072=CARTESIAN_POINT('Ctrl Pts',(0.199289345659976,0.60000000000001,2.15251258908589)); +#29073=CARTESIAN_POINT('Ctrl Pts',(0.199289345659963,0.600000000000003, +2.3007106396921)); +#29074=CARTESIAN_POINT('Ctrl Pts',(0.347487396266172,0.600000000000003, +2.30071063969209)); +#29075=CARTESIAN_POINT('Ctrl Pts',(0.297487396266183,0.600000000000001, +2.15251258908589)); +#29076=CARTESIAN_POINT('Ctrl Pts',(0.297487396266179,0.599999999999998, +2.20251258908588)); +#29077=CARTESIAN_POINT('Ctrl Pts',(0.347487396266172,0.599999999999999, +2.20251258908588)); +#29078=CARTESIAN_POINT('Origin',(0.347487396266168,0.6,2.15251258908588)); +#29079=CARTESIAN_POINT('Origin',(1.59999998773912,0.6,1.24999999556222)); +#29080=CARTESIAN_POINT('Origin',(-0.0999999977648258,0.45,2.59999994188547)); +#29081=CARTESIAN_POINT('',(0.235410216186542,0.45,2.5)); +#29082=CARTESIAN_POINT('Origin',(3.2,0.,2.5)); +#29083=CARTESIAN_POINT('Origin',(3.2,0.,0.)); +#29084=CARTESIAN_POINT('Origin',(0.,0.,2.5)); +#29085=CARTESIAN_POINT('',(0.,0.,0.)); +#29086=CARTESIAN_POINT('Origin',(0.765,-0.195,0.0799999999999999)); +#29087=CARTESIAN_POINT('',(-0.253304983704347,-0.275000000000005,0.0800000003061609)); +#29088=CARTESIAN_POINT('',(-0.253305003855558,-0.194999999682555,-9.87781095715759E-14)); +#29089=CARTESIAN_POINT('Origin',(-0.241372257633413,-0.194998402201166, +0.0800012313894534)); +#29090=CARTESIAN_POINT('',(0.253304990894875,-0.194999999690006,-9.79883336364689E-14)); +#29091=CARTESIAN_POINT('',(0.253304441105749,-0.195,-5.53457924669852E-17)); +#29092=CARTESIAN_POINT('',(0.253304983414853,-0.275000000000004,0.0800000003058646)); +#29093=CARTESIAN_POINT('Origin',(0.241372316118012,-0.194998397367035,0.0800012267024439)); +#29094=CARTESIAN_POINT('',(0.253304441147096,-0.275,0.0799999999999999)); +#29095=CARTESIAN_POINT('Origin',(0.765,-0.195,0.0799999999999999)); +#29096=CARTESIAN_POINT('',(-0.445,-0.275,0.08)); +#29097=CARTESIAN_POINT('',(-0.253305526446834,-0.275,0.08)); +#29098=CARTESIAN_POINT('',(-0.445,-0.195,1.48142979663845E-17)); +#29099=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29100=CARTESIAN_POINT('',(-0.253305566872663,-0.195,-1.04851346257071E-18)); +#29101=CARTESIAN_POINT('Origin',(0.765,-0.195,0.0799999999999999)); +#29102=CARTESIAN_POINT('',(0.445,-0.195,-6.12208032183277E-17)); +#29103=CARTESIAN_POINT('',(0.445,-0.195,-7.58912753458624E-17)); +#29104=CARTESIAN_POINT('',(0.445,-0.275,0.0799999999999999)); +#29105=CARTESIAN_POINT('Origin',(0.445,-0.195,0.08)); +#29106=CARTESIAN_POINT('',(0.445,-0.275,0.0799999999999999)); +#29107=CARTESIAN_POINT('Origin',(0.525,-0.275,-8.44654887485677E-17)); +#29108=CARTESIAN_POINT('',(0.249064914929911,-0.175057439130426,-5.48914099400103E-17)); +#29109=CARTESIAN_POINT('Origin',(0.0949999999200623,-0.218231528165135, +-3.83790917004591E-17)); +#29110=CARTESIAN_POINT('',(-0.249064914909655,-0.175057439048503,-1.50301664878862E-18)); +#29111=CARTESIAN_POINT('Origin',(-0.094999999756171,-0.218231527561008, +-1.80153349037344E-17)); +#29112=CARTESIAN_POINT('',(-0.249064914686015,0.175057438262002,-1.50301667275788E-18)); +#29113=CARTESIAN_POINT('Origin',(-0.87375,0.,6.54492737143583E-17)); +#29114=CARTESIAN_POINT('',(-0.253304983370935,0.194999999694312,-8.87297238380898E-14)); +#29115=CARTESIAN_POINT('Origin',(-0.0949999997561709,0.218231527584109, +-1.80153349037345E-17)); +#29116=CARTESIAN_POINT('',(0.253304937667593,0.194999998651573,-9.16739401809763E-14)); +#29117=CARTESIAN_POINT('',(0.253304441092234,0.195,-5.53457924655367E-17)); +#29118=CARTESIAN_POINT('',(0.24906491490715,0.175057439049205,-5.48914099375708E-17)); +#29119=CARTESIAN_POINT('Origin',(0.0949999998916883,0.218231528063882,-3.8379091697418E-17)); +#29120=CARTESIAN_POINT('Origin',(0.87375,0.,-1.21843700300986E-16)); +#29121=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29122=CARTESIAN_POINT('',(-0.253304990659875,-0.275,0.469999999690242)); +#29123=CARTESIAN_POINT('',(-0.249064914992237,-0.275,0.450057439341622)); +#29124=CARTESIAN_POINT('Origin',(-0.0949999999999566,-0.275,0.493231528427802)); +#29125=CARTESIAN_POINT('',(-0.249064914992237,-0.275,0.0999425606583782)); +#29126=CARTESIAN_POINT('Origin',(-0.87375,-0.275,0.275)); +#29127=CARTESIAN_POINT('Origin',(-0.0949999999999566,-0.275,0.056768471572198)); +#29128=CARTESIAN_POINT('',(0.249064914992237,-0.275,0.0999425606583786)); +#29129=CARTESIAN_POINT('Origin',(0.0949999999999565,-0.275,0.0567684715721984)); +#29130=CARTESIAN_POINT('',(0.24906491498888,-0.275,0.450057439336122)); +#29131=CARTESIAN_POINT('Origin',(0.87375,-0.275,0.275)); +#29132=CARTESIAN_POINT('',(0.253304937512202,-0.275,0.469999998652284)); +#29133=CARTESIAN_POINT('Origin',(0.0949999999948652,-0.275,0.493231528422592)); +#29134=CARTESIAN_POINT('',(-0.253304441147096,-0.275,0.47)); +#29135=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29136=CARTESIAN_POINT('',(-0.445,-0.275,0.47)); +#29137=CARTESIAN_POINT('',(-0.445,-0.275,0.47)); +#29138=CARTESIAN_POINT('',(-0.445,-0.275,0.08)); +#29139=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29140=CARTESIAN_POINT('',(-0.525,-0.195,0.08)); +#29141=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29142=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29143=CARTESIAN_POINT('Origin',(0.525,-0.275,-8.44654887485677E-17)); +#29144=CARTESIAN_POINT('',(-0.445,0.195,1.48142979663845E-17)); +#29145=CARTESIAN_POINT('',(-0.445,-0.195,1.94968487592344E-17)); +#29146=CARTESIAN_POINT('',(-0.253305525899693,0.195,-1.04851785395811E-18)); +#29147=CARTESIAN_POINT('Origin',(0.525,-0.275,-8.44654887485677E-17)); +#29148=CARTESIAN_POINT('',(0.445,0.195,-6.12208032183277E-17)); +#29149=CARTESIAN_POINT('',(0.445,0.195,-7.58912753458624E-17)); +#29150=CARTESIAN_POINT('',(0.445,-0.195,-7.58912753458624E-17)); +#29151=CARTESIAN_POINT('Origin',(0.445,-0.195,0.08)); +#29152=CARTESIAN_POINT('',(0.525,-0.195,0.0799999999999999)); +#29153=CARTESIAN_POINT('Origin',(0.445,-0.195,0.08)); +#29154=CARTESIAN_POINT('Origin',(0.445,-0.195,0.0799999999999999)); +#29155=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29156=CARTESIAN_POINT('',(0.445,-0.275,0.47)); +#29157=CARTESIAN_POINT('',(0.445,-0.275,0.47)); +#29158=CARTESIAN_POINT('',(0.253304441142769,-0.275,0.47)); +#29159=CARTESIAN_POINT('Origin',(0.765,0.195,0.0799999999999999)); +#29160=CARTESIAN_POINT('',(0.253304983482678,0.275000000000004,0.0800000003059339)); +#29161=CARTESIAN_POINT('Origin',(0.241372192281124,0.19499839857558,0.0800012278587561)); +#29162=CARTESIAN_POINT('',(-0.253304983384775,0.274999999999999,0.0800000003057128)); +#29163=CARTESIAN_POINT('Origin',(-0.241372432031562,0.194998550030101,0.0800010891063884)); +#29164=CARTESIAN_POINT('',(0.253304441147096,0.275,0.0799999999999999)); +#29165=CARTESIAN_POINT('Origin',(-0.765,-0.195,0.47)); +#29166=CARTESIAN_POINT('',(-0.253304982890659,-0.194999999694617,0.550000000000088)); +#29167=CARTESIAN_POINT('Origin',(-0.241372467044333,-0.194998551219096, +0.469998909743847)); +#29168=CARTESIAN_POINT('',(0.253304983447005,-0.194999999694315,0.550000000000089)); +#29169=CARTESIAN_POINT('Origin',(0.241372343289058,-0.194998550077497,0.469998910953248)); +#29170=CARTESIAN_POINT('',(-0.253304441030515,-0.195,0.55)); +#29171=CARTESIAN_POINT('Origin',(-0.765,-0.195,0.47)); +#29172=CARTESIAN_POINT('',(-0.445,-0.195,0.55)); +#29173=CARTESIAN_POINT('',(-0.445,-0.195,0.55)); +#29174=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29175=CARTESIAN_POINT('Origin',(-0.445,-0.195,-0.32)); +#29176=CARTESIAN_POINT('',(-0.525,-0.195,0.47)); +#29177=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29178=CARTESIAN_POINT('',(-0.525,-0.195,0.0800000000000001)); +#29179=CARTESIAN_POINT('Origin',(-0.445,-0.515,0.08)); +#29180=CARTESIAN_POINT('',(-0.525,0.195,0.0800000000000001)); +#29181=CARTESIAN_POINT('',(-0.525,-0.195,0.08)); +#29182=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29183=CARTESIAN_POINT('Origin',(0.765,0.195,0.0799999999999999)); +#29184=CARTESIAN_POINT('',(-0.445,0.275,0.08)); +#29185=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29186=CARTESIAN_POINT('',(-0.253305525807417,0.275,0.08)); +#29187=CARTESIAN_POINT('Origin',(0.765,0.195,0.0799999999999999)); +#29188=CARTESIAN_POINT('',(0.445,0.275,0.0799999999999999)); +#29189=CARTESIAN_POINT('',(0.445,0.275,0.0799999999999999)); +#29190=CARTESIAN_POINT('Origin',(0.445,0.195,0.0799999999999999)); +#29191=CARTESIAN_POINT('Origin',(0.445,-0.515,0.0799999999999999)); +#29192=CARTESIAN_POINT('',(0.525,0.195,0.0799999999999999)); +#29193=CARTESIAN_POINT('',(0.525,-0.195,0.0799999999999998)); +#29194=CARTESIAN_POINT('Origin',(0.445,0.195,0.08)); +#29195=CARTESIAN_POINT('Origin',(0.445,-0.195,0.87)); +#29196=CARTESIAN_POINT('',(0.525,-0.195,0.47)); +#29197=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#29198=CARTESIAN_POINT('',(0.525,-0.195,0.47)); +#29199=CARTESIAN_POINT('Origin',(-0.765,-0.195,0.47)); +#29200=CARTESIAN_POINT('',(0.445,-0.195,0.55)); +#29201=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#29202=CARTESIAN_POINT('',(0.253305525973207,-0.195,0.55)); +#29203=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#29204=CARTESIAN_POINT('',(-0.253304983489075,0.275000000000004,0.469999999694063)); +#29205=CARTESIAN_POINT('',(-0.249064914992237,0.275,0.450057439341622)); +#29206=CARTESIAN_POINT('Origin',(-0.0949999999999566,0.275,0.493231528427802)); +#29207=CARTESIAN_POINT('',(0.253304982921634,0.275000000000094,0.469999999694475)); +#29208=CARTESIAN_POINT('',(-0.253304441147096,0.275,0.47)); +#29209=CARTESIAN_POINT('',(0.24906491498888,0.275,0.450057439336122)); +#29210=CARTESIAN_POINT('Origin',(0.0949999999948652,0.275,0.493231528422592)); +#29211=CARTESIAN_POINT('',(0.249064914992237,0.275,0.0999425606583786)); +#29212=CARTESIAN_POINT('Origin',(0.87375,0.275,0.275)); +#29213=CARTESIAN_POINT('Origin',(0.0949999999999565,0.275,0.0567684715721984)); +#29214=CARTESIAN_POINT('',(-0.249064914992237,0.275,0.0999425606583782)); +#29215=CARTESIAN_POINT('Origin',(-0.0949999999999566,0.275,0.056768471572198)); +#29216=CARTESIAN_POINT('Origin',(-0.87375,0.275,0.275)); +#29217=CARTESIAN_POINT('Origin',(-0.525,-0.275,0.55)); +#29218=CARTESIAN_POINT('',(0.249064914929911,-0.175057439130426,0.55)); +#29219=CARTESIAN_POINT('Origin',(0.0949999999200623,-0.218231528165135, +0.55)); +#29220=CARTESIAN_POINT('',(0.24906491490715,0.175057439049205,0.55)); +#29221=CARTESIAN_POINT('Origin',(0.87375,0.,0.55)); +#29222=CARTESIAN_POINT('',(0.253304983432738,0.194999999694072,0.550000000000003)); +#29223=CARTESIAN_POINT('Origin',(0.0949999998916883,0.218231528063882,0.55)); +#29224=CARTESIAN_POINT('',(-0.253304936965528,0.194999998653299,0.550000000000092)); +#29225=CARTESIAN_POINT('',(-0.253304441027124,0.195,0.55)); +#29226=CARTESIAN_POINT('',(-0.249064914686015,0.175057438262002,0.55)); +#29227=CARTESIAN_POINT('Origin',(-0.0949999997561709,0.218231527584109, +0.55)); +#29228=CARTESIAN_POINT('',(-0.249064914909655,-0.175057439048503,0.55)); +#29229=CARTESIAN_POINT('Origin',(-0.87375,0.,0.55)); +#29230=CARTESIAN_POINT('Origin',(-0.094999999756171,-0.218231527561008, +0.55)); +#29231=CARTESIAN_POINT('Origin',(-0.525,-0.275,0.55)); +#29232=CARTESIAN_POINT('',(-0.445,0.195,0.55)); +#29233=CARTESIAN_POINT('',(-0.445,0.195,0.55)); +#29234=CARTESIAN_POINT('',(-0.445,-0.195,0.55)); +#29235=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29236=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29237=CARTESIAN_POINT('Origin',(-0.525,-0.275,2.80710621619398E-17)); +#29238=CARTESIAN_POINT('',(-0.525,0.195,0.47)); +#29239=CARTESIAN_POINT('',(-0.525,-0.195,0.47)); +#29240=CARTESIAN_POINT('',(-0.525,0.195,0.0800000000000001)); +#29241=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29242=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29243=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#29244=CARTESIAN_POINT('',(-0.445,0.275,0.47)); +#29245=CARTESIAN_POINT('',(-0.445,0.275,0.08)); +#29246=CARTESIAN_POINT('',(-0.445,0.275,0.47)); +#29247=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#29248=CARTESIAN_POINT('',(0.445,0.275,0.47)); +#29249=CARTESIAN_POINT('',(0.253305524885348,0.275,0.47)); +#29250=CARTESIAN_POINT('',(0.445,0.275,0.47)); +#29251=CARTESIAN_POINT('Origin',(0.445,0.195,0.08)); +#29252=CARTESIAN_POINT('Origin',(0.445,0.195,0.08)); +#29253=CARTESIAN_POINT('Origin',(0.525,-0.275,0.55)); +#29254=CARTESIAN_POINT('',(0.525,0.195,0.47)); +#29255=CARTESIAN_POINT('',(0.525,-0.195,0.47)); +#29256=CARTESIAN_POINT('',(0.525,0.195,0.47)); +#29257=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#29258=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#29259=CARTESIAN_POINT('Origin',(-0.525,-0.275,0.55)); +#29260=CARTESIAN_POINT('',(0.445,0.195,0.55)); +#29261=CARTESIAN_POINT('',(0.445,-0.195,0.55)); +#29262=CARTESIAN_POINT('',(0.253305525958337,0.195,0.55)); +#29263=CARTESIAN_POINT('Origin',(-0.765,0.195,0.47)); +#29264=CARTESIAN_POINT('Origin',(-0.241372191392692,0.194998398550086,0.469998772166105)); +#29265=CARTESIAN_POINT('Origin',(0.241372300713013,0.194998815568424,0.469998447546207)); +#29266=CARTESIAN_POINT('Origin',(-0.765,0.195,0.47)); +#29267=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#29268=CARTESIAN_POINT('Origin',(-0.445,-0.515,0.47)); +#29269=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#29270=CARTESIAN_POINT('Origin',(-0.445,0.195,-0.32)); +#29271=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#29272=CARTESIAN_POINT('Origin',(-0.765,0.195,0.47)); +#29273=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#29274=CARTESIAN_POINT('Origin',(0.445,0.195,0.87)); +#29275=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#29276=CARTESIAN_POINT('Origin',(0.445,-0.515,0.47)); +#29277=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#29278=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#29279=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#29280=CARTESIAN_POINT('',(0.,0.,0.)); +#29281=CARTESIAN_POINT('Origin',(0.765,-0.195,0.0799999999999999)); +#29282=CARTESIAN_POINT('',(-0.253304983704347,-0.275000000000005,0.0800000003061609)); +#29283=CARTESIAN_POINT('',(-0.253305003855558,-0.194999999682555,-9.87781095715759E-14)); +#29284=CARTESIAN_POINT('Origin',(-0.241372257633413,-0.194998402201166, +0.0800012313894534)); +#29285=CARTESIAN_POINT('',(0.253304990894875,-0.194999999690006,-9.79883336364689E-14)); +#29286=CARTESIAN_POINT('',(0.253304441105749,-0.195,-5.53457924669852E-17)); +#29287=CARTESIAN_POINT('',(0.253304983414853,-0.275000000000004,0.0800000003058646)); +#29288=CARTESIAN_POINT('Origin',(0.241372316118012,-0.194998397367035,0.0800012267024439)); +#29289=CARTESIAN_POINT('',(0.253304441147096,-0.275,0.0799999999999999)); +#29290=CARTESIAN_POINT('Origin',(0.765,-0.195,0.0799999999999999)); +#29291=CARTESIAN_POINT('',(-0.445,-0.275,0.08)); +#29292=CARTESIAN_POINT('',(-0.253305526446834,-0.275,0.08)); +#29293=CARTESIAN_POINT('',(-0.445,-0.195,1.48142979663845E-17)); +#29294=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29295=CARTESIAN_POINT('',(-0.253305566872663,-0.195,-1.04851346257071E-18)); +#29296=CARTESIAN_POINT('Origin',(0.765,-0.195,0.0799999999999999)); +#29297=CARTESIAN_POINT('',(0.445,-0.195,-6.12208032183277E-17)); +#29298=CARTESIAN_POINT('',(0.445,-0.195,-7.58912753458624E-17)); +#29299=CARTESIAN_POINT('',(0.445,-0.275,0.0799999999999999)); +#29300=CARTESIAN_POINT('Origin',(0.445,-0.195,0.08)); +#29301=CARTESIAN_POINT('',(0.445,-0.275,0.0799999999999999)); +#29302=CARTESIAN_POINT('Origin',(0.525,-0.275,-8.44654887485677E-17)); +#29303=CARTESIAN_POINT('',(0.249064914929911,-0.175057439130426,-5.48914099400103E-17)); +#29304=CARTESIAN_POINT('Origin',(0.0949999999200623,-0.218231528165135, +-3.83790917004591E-17)); +#29305=CARTESIAN_POINT('',(-0.249064914909655,-0.175057439048503,-1.50301664878862E-18)); +#29306=CARTESIAN_POINT('Origin',(-0.094999999756171,-0.218231527561008, +-1.80153349037344E-17)); +#29307=CARTESIAN_POINT('',(-0.249064914686015,0.175057438262002,-1.50301667275788E-18)); +#29308=CARTESIAN_POINT('Origin',(-0.87375,0.,6.54492737143583E-17)); +#29309=CARTESIAN_POINT('',(-0.253304983370935,0.194999999694312,-8.87297238380898E-14)); +#29310=CARTESIAN_POINT('Origin',(-0.0949999997561709,0.218231527584109, +-1.80153349037345E-17)); +#29311=CARTESIAN_POINT('',(0.253304937667593,0.194999998651573,-9.16739401809763E-14)); +#29312=CARTESIAN_POINT('',(0.253304441092234,0.195,-5.53457924655367E-17)); +#29313=CARTESIAN_POINT('',(0.24906491490715,0.175057439049205,-5.48914099375708E-17)); +#29314=CARTESIAN_POINT('Origin',(0.0949999998916883,0.218231528063882,-3.8379091697418E-17)); +#29315=CARTESIAN_POINT('Origin',(0.87375,0.,-1.21843700300986E-16)); +#29316=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29317=CARTESIAN_POINT('',(-0.253304990659875,-0.275,0.469999999690242)); +#29318=CARTESIAN_POINT('',(-0.249064914992237,-0.275,0.450057439341622)); +#29319=CARTESIAN_POINT('Origin',(-0.0949999999999566,-0.275,0.493231528427802)); +#29320=CARTESIAN_POINT('',(-0.249064914992237,-0.275,0.0999425606583782)); +#29321=CARTESIAN_POINT('Origin',(-0.87375,-0.275,0.275)); +#29322=CARTESIAN_POINT('Origin',(-0.0949999999999566,-0.275,0.056768471572198)); +#29323=CARTESIAN_POINT('',(0.249064914992237,-0.275,0.0999425606583786)); +#29324=CARTESIAN_POINT('Origin',(0.0949999999999565,-0.275,0.0567684715721984)); +#29325=CARTESIAN_POINT('',(0.24906491498888,-0.275,0.450057439336122)); +#29326=CARTESIAN_POINT('Origin',(0.87375,-0.275,0.275)); +#29327=CARTESIAN_POINT('',(0.253304937512202,-0.275,0.469999998652284)); +#29328=CARTESIAN_POINT('Origin',(0.0949999999948652,-0.275,0.493231528422592)); +#29329=CARTESIAN_POINT('',(-0.253304441147096,-0.275,0.47)); +#29330=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29331=CARTESIAN_POINT('',(-0.445,-0.275,0.47)); +#29332=CARTESIAN_POINT('',(-0.445,-0.275,0.47)); +#29333=CARTESIAN_POINT('',(-0.445,-0.275,0.08)); +#29334=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29335=CARTESIAN_POINT('',(-0.525,-0.195,0.08)); +#29336=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29337=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29338=CARTESIAN_POINT('Origin',(0.525,-0.275,-8.44654887485677E-17)); +#29339=CARTESIAN_POINT('',(-0.445,0.195,1.48142979663845E-17)); +#29340=CARTESIAN_POINT('',(-0.445,-0.195,1.94968487592344E-17)); +#29341=CARTESIAN_POINT('',(-0.253305525899693,0.195,-1.04851785395811E-18)); +#29342=CARTESIAN_POINT('Origin',(0.525,-0.275,-8.44654887485677E-17)); +#29343=CARTESIAN_POINT('',(0.445,0.195,-6.12208032183277E-17)); +#29344=CARTESIAN_POINT('',(0.445,0.195,-7.58912753458624E-17)); +#29345=CARTESIAN_POINT('',(0.445,-0.195,-7.58912753458624E-17)); +#29346=CARTESIAN_POINT('Origin',(0.445,-0.195,0.08)); +#29347=CARTESIAN_POINT('',(0.525,-0.195,0.0799999999999999)); +#29348=CARTESIAN_POINT('Origin',(0.445,-0.195,0.08)); +#29349=CARTESIAN_POINT('Origin',(0.445,-0.195,0.0799999999999999)); +#29350=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29351=CARTESIAN_POINT('',(0.445,-0.275,0.47)); +#29352=CARTESIAN_POINT('',(0.445,-0.275,0.47)); +#29353=CARTESIAN_POINT('',(0.253304441142769,-0.275,0.47)); +#29354=CARTESIAN_POINT('Origin',(0.765,0.195,0.0799999999999999)); +#29355=CARTESIAN_POINT('',(0.253304983482678,0.275000000000004,0.0800000003059339)); +#29356=CARTESIAN_POINT('Origin',(0.241372192281124,0.19499839857558,0.0800012278587561)); +#29357=CARTESIAN_POINT('',(-0.253304983384775,0.274999999999999,0.0800000003057128)); +#29358=CARTESIAN_POINT('Origin',(-0.241372432031562,0.194998550030101,0.0800010891063884)); +#29359=CARTESIAN_POINT('',(0.253304441147096,0.275,0.0799999999999999)); +#29360=CARTESIAN_POINT('Origin',(-0.765,-0.195,0.47)); +#29361=CARTESIAN_POINT('',(-0.253304982890659,-0.194999999694617,0.550000000000088)); +#29362=CARTESIAN_POINT('Origin',(-0.241372467044333,-0.194998551219096, +0.469998909743847)); +#29363=CARTESIAN_POINT('',(0.253304983447005,-0.194999999694315,0.550000000000089)); +#29364=CARTESIAN_POINT('Origin',(0.241372343289058,-0.194998550077497,0.469998910953248)); +#29365=CARTESIAN_POINT('',(-0.253304441030515,-0.195,0.55)); +#29366=CARTESIAN_POINT('Origin',(-0.765,-0.195,0.47)); +#29367=CARTESIAN_POINT('',(-0.445,-0.195,0.55)); +#29368=CARTESIAN_POINT('',(-0.445,-0.195,0.55)); +#29369=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29370=CARTESIAN_POINT('Origin',(-0.445,-0.195,-0.32)); +#29371=CARTESIAN_POINT('',(-0.525,-0.195,0.47)); +#29372=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29373=CARTESIAN_POINT('',(-0.525,-0.195,0.0800000000000001)); +#29374=CARTESIAN_POINT('Origin',(-0.445,-0.515,0.08)); +#29375=CARTESIAN_POINT('',(-0.525,0.195,0.0800000000000001)); +#29376=CARTESIAN_POINT('',(-0.525,-0.195,0.08)); +#29377=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29378=CARTESIAN_POINT('Origin',(0.765,0.195,0.0799999999999999)); +#29379=CARTESIAN_POINT('',(-0.445,0.275,0.08)); +#29380=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29381=CARTESIAN_POINT('',(-0.253305525807417,0.275,0.08)); +#29382=CARTESIAN_POINT('Origin',(0.765,0.195,0.0799999999999999)); +#29383=CARTESIAN_POINT('',(0.445,0.275,0.0799999999999999)); +#29384=CARTESIAN_POINT('',(0.445,0.275,0.0799999999999999)); +#29385=CARTESIAN_POINT('Origin',(0.445,0.195,0.0799999999999999)); +#29386=CARTESIAN_POINT('Origin',(0.445,-0.515,0.0799999999999999)); +#29387=CARTESIAN_POINT('',(0.525,0.195,0.0799999999999999)); +#29388=CARTESIAN_POINT('',(0.525,-0.195,0.0799999999999998)); +#29389=CARTESIAN_POINT('Origin',(0.445,0.195,0.08)); +#29390=CARTESIAN_POINT('Origin',(0.445,-0.195,0.87)); +#29391=CARTESIAN_POINT('',(0.525,-0.195,0.47)); +#29392=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#29393=CARTESIAN_POINT('',(0.525,-0.195,0.47)); +#29394=CARTESIAN_POINT('Origin',(-0.765,-0.195,0.47)); +#29395=CARTESIAN_POINT('',(0.445,-0.195,0.55)); +#29396=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#29397=CARTESIAN_POINT('',(0.253305525973207,-0.195,0.55)); +#29398=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#29399=CARTESIAN_POINT('',(-0.253304983489075,0.275000000000004,0.469999999694063)); +#29400=CARTESIAN_POINT('',(-0.249064914992237,0.275,0.450057439341622)); +#29401=CARTESIAN_POINT('Origin',(-0.0949999999999566,0.275,0.493231528427802)); +#29402=CARTESIAN_POINT('',(0.253304982921634,0.275000000000094,0.469999999694475)); +#29403=CARTESIAN_POINT('',(-0.253304441147096,0.275,0.47)); +#29404=CARTESIAN_POINT('',(0.24906491498888,0.275,0.450057439336122)); +#29405=CARTESIAN_POINT('Origin',(0.0949999999948652,0.275,0.493231528422592)); +#29406=CARTESIAN_POINT('',(0.249064914992237,0.275,0.0999425606583786)); +#29407=CARTESIAN_POINT('Origin',(0.87375,0.275,0.275)); +#29408=CARTESIAN_POINT('Origin',(0.0949999999999565,0.275,0.0567684715721984)); +#29409=CARTESIAN_POINT('',(-0.249064914992237,0.275,0.0999425606583782)); +#29410=CARTESIAN_POINT('Origin',(-0.0949999999999566,0.275,0.056768471572198)); +#29411=CARTESIAN_POINT('Origin',(-0.87375,0.275,0.275)); +#29412=CARTESIAN_POINT('Origin',(-0.525,-0.275,0.55)); +#29413=CARTESIAN_POINT('',(0.249064914929911,-0.175057439130426,0.55)); +#29414=CARTESIAN_POINT('Origin',(0.0949999999200623,-0.218231528165135, +0.55)); +#29415=CARTESIAN_POINT('',(0.24906491490715,0.175057439049205,0.55)); +#29416=CARTESIAN_POINT('Origin',(0.87375,0.,0.55)); +#29417=CARTESIAN_POINT('',(0.253304983432738,0.194999999694072,0.550000000000003)); +#29418=CARTESIAN_POINT('Origin',(0.0949999998916883,0.218231528063882,0.55)); +#29419=CARTESIAN_POINT('',(-0.253304936965528,0.194999998653299,0.550000000000092)); +#29420=CARTESIAN_POINT('',(-0.253304441027124,0.195,0.55)); +#29421=CARTESIAN_POINT('',(-0.249064914686015,0.175057438262002,0.55)); +#29422=CARTESIAN_POINT('Origin',(-0.0949999997561709,0.218231527584109, +0.55)); +#29423=CARTESIAN_POINT('',(-0.249064914909655,-0.175057439048503,0.55)); +#29424=CARTESIAN_POINT('Origin',(-0.87375,0.,0.55)); +#29425=CARTESIAN_POINT('Origin',(-0.094999999756171,-0.218231527561008, +0.55)); +#29426=CARTESIAN_POINT('Origin',(-0.525,-0.275,0.55)); +#29427=CARTESIAN_POINT('',(-0.445,0.195,0.55)); +#29428=CARTESIAN_POINT('',(-0.445,0.195,0.55)); +#29429=CARTESIAN_POINT('',(-0.445,-0.195,0.55)); +#29430=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29431=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29432=CARTESIAN_POINT('Origin',(-0.525,-0.275,2.80710621619398E-17)); +#29433=CARTESIAN_POINT('',(-0.525,0.195,0.47)); +#29434=CARTESIAN_POINT('',(-0.525,-0.195,0.47)); +#29435=CARTESIAN_POINT('',(-0.525,0.195,0.0800000000000001)); +#29436=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29437=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29438=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#29439=CARTESIAN_POINT('',(-0.445,0.275,0.47)); +#29440=CARTESIAN_POINT('',(-0.445,0.275,0.08)); +#29441=CARTESIAN_POINT('',(-0.445,0.275,0.47)); +#29442=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#29443=CARTESIAN_POINT('',(0.445,0.275,0.47)); +#29444=CARTESIAN_POINT('',(0.253305524885348,0.275,0.47)); +#29445=CARTESIAN_POINT('',(0.445,0.275,0.47)); +#29446=CARTESIAN_POINT('Origin',(0.445,0.195,0.08)); +#29447=CARTESIAN_POINT('Origin',(0.445,0.195,0.08)); +#29448=CARTESIAN_POINT('Origin',(0.525,-0.275,0.55)); +#29449=CARTESIAN_POINT('',(0.525,0.195,0.47)); +#29450=CARTESIAN_POINT('',(0.525,-0.195,0.47)); +#29451=CARTESIAN_POINT('',(0.525,0.195,0.47)); +#29452=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#29453=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#29454=CARTESIAN_POINT('Origin',(-0.525,-0.275,0.55)); +#29455=CARTESIAN_POINT('',(0.445,0.195,0.55)); +#29456=CARTESIAN_POINT('',(0.445,-0.195,0.55)); +#29457=CARTESIAN_POINT('',(0.253305525958337,0.195,0.55)); +#29458=CARTESIAN_POINT('Origin',(-0.765,0.195,0.47)); +#29459=CARTESIAN_POINT('Origin',(-0.241372191392692,0.194998398550086,0.469998772166105)); +#29460=CARTESIAN_POINT('Origin',(0.241372300713013,0.194998815568424,0.469998447546207)); +#29461=CARTESIAN_POINT('Origin',(-0.765,0.195,0.47)); +#29462=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#29463=CARTESIAN_POINT('Origin',(-0.445,-0.515,0.47)); +#29464=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#29465=CARTESIAN_POINT('Origin',(-0.445,0.195,-0.32)); +#29466=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#29467=CARTESIAN_POINT('Origin',(-0.765,0.195,0.47)); +#29468=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#29469=CARTESIAN_POINT('Origin',(0.445,0.195,0.87)); +#29470=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#29471=CARTESIAN_POINT('Origin',(0.445,-0.515,0.47)); +#29472=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#29473=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#29474=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#29475=CARTESIAN_POINT('',(0.,0.,0.)); +#29476=CARTESIAN_POINT('Origin',(0.79,-0.195,0.08)); +#29477=CARTESIAN_POINT('',(-0.32863852550342,-0.275,0.0799999799963064)); +#29478=CARTESIAN_POINT('',(-0.328304898347811,-0.195000021558293,-4.05008282203263E-17)); +#29479=CARTESIAN_POINT('Ctrl Pts',(-0.328638990436524,-0.275,0.08)); +#29480=CARTESIAN_POINT('Ctrl Pts',(-0.329668876533474,-0.275,0.0723002090756942)); +#29481=CARTESIAN_POINT('Ctrl Pts',(-0.330559311148723,-0.273884100158023, +0.0646205316265747)); +#29482=CARTESIAN_POINT('Ctrl Pts',(-0.331268824641002,-0.271694806058071, +0.057242435901113)); +#29483=CARTESIAN_POINT('Ctrl Pts',(-0.33148915484115,-0.271014949209011, +0.054951264097343)); +#29484=CARTESIAN_POINT('Ctrl Pts',(-0.331692038231937,-0.270231952673571, +0.0526891149621012)); +#29485=CARTESIAN_POINT('Ctrl Pts',(-0.331876232959794,-0.269348102870517, +0.0504642657183701)); +#29486=CARTESIAN_POINT('Ctrl Pts',(-0.332351858005435,-0.267065838292105, +0.0447192911720963)); +#29487=CARTESIAN_POINT('Ctrl Pts',(-0.332702872943206,-0.264106665039121, +0.0392228728796262)); +#29488=CARTESIAN_POINT('Ctrl Pts',(-0.332915468000194,-0.260549382435249, +0.0341384860437698)); +#29489=CARTESIAN_POINT('Ctrl Pts',(-0.333005893481088,-0.259036322843511, +0.0319758857876853)); +#29490=CARTESIAN_POINT('Ctrl Pts',(-0.333071276685864,-0.25741564945246, +0.0298875681678597)); +#29491=CARTESIAN_POINT('Ctrl Pts',(-0.333110554941804,-0.255695902772896, +0.0278846722491052)); +#29492=CARTESIAN_POINT('Ctrl Pts',(-0.333206528013534,-0.251493848290452, +0.0229907667261092)); +#29493=CARTESIAN_POINT('Ctrl Pts',(-0.33314664733925,-0.246695889593458, +0.0186070562852288)); +#29494=CARTESIAN_POINT('Ctrl Pts',(-0.33293057993191,-0.241447094202983, +0.0148642383931893)); +#29495=CARTESIAN_POINT('Ctrl Pts',(-0.332856176057004,-0.239639645682873, +0.01357538063503)); +#29496=CARTESIAN_POINT('Ctrl Pts',(-0.33276325151066,-0.237779037324057, +0.0123621771487803)); +#29497=CARTESIAN_POINT('Ctrl Pts',(-0.332651792695783,-0.235872048081153, +0.0112288164588399)); +#29498=CARTESIAN_POINT('Ctrl Pts',(-0.33233369395961,-0.230429581563553, +0.00799425305985065)); +#29499=CARTESIAN_POINT('Ctrl Pts',(-0.331864632230868,-0.224606295817671, +0.00541263393187)); +#29500=CARTESIAN_POINT('Ctrl Pts',(-0.331256779516336,-0.218577171262661, +0.00355317537496289)); +#29501=CARTESIAN_POINT('Ctrl Pts',(-0.331126101166541,-0.217281008510208, +0.00315342232637319)); +#29502=CARTESIAN_POINT('Ctrl Pts',(-0.330989008183622,-0.215975393453576, +0.00278686407590365)); +#29503=CARTESIAN_POINT('Ctrl Pts',(-0.330845621509825,-0.2146621475901, +0.00245388499644134)); +#29504=CARTESIAN_POINT('Ctrl Pts',(-0.330143113546428,-0.208228037274564, +0.000822488934507749)); +#29505=CARTESIAN_POINT('Ctrl Pts',(-0.329289531373413,-0.2016097714168, +-7.8565750694069E-17)); +#29506=CARTESIAN_POINT('Ctrl Pts',(-0.328305346889297,-0.195,-7.85802749796153E-17)); +#29507=CARTESIAN_POINT('',(0.328304898007877,-0.195000021542264,3.64871854956543E-18)); +#29508=CARTESIAN_POINT('',(0.328304441149982,-0.195,1.56789468803486E-17)); +#29509=CARTESIAN_POINT('',(0.32863852560206,-0.275,0.0799999799964123)); +#29510=CARTESIAN_POINT('Ctrl Pts',(0.32863899053268,-0.275,0.08)); +#29511=CARTESIAN_POINT('Ctrl Pts',(0.329668877451103,-0.275,0.0723002101043756)); +#29512=CARTESIAN_POINT('Ctrl Pts',(0.330559312591843,-0.273884100454895, +0.0646205336202766)); +#29513=CARTESIAN_POINT('Ctrl Pts',(0.331268826400073,-0.271694806893555, +0.0572424387167639)); +#29514=CARTESIAN_POINT('Ctrl Pts',(0.331489156750376,-0.27101495005118, +0.0549512666270867)); +#29515=CARTESIAN_POINT('Ctrl Pts',(0.331692040262869,-0.270231953497016, +0.0526891172060121)); +#29516=CARTESIAN_POINT('Ctrl Pts',(0.331876235085693,-0.26934810364993, +0.0504642676803286)); +#29517=CARTESIAN_POINT('Ctrl Pts',(0.332351860288358,-0.26706583938103, +0.0447192934713198)); +#29518=CARTESIAN_POINT('Ctrl Pts',(0.332702875251075,-0.264106666517779, +0.039222875448164)); +#29519=CARTESIAN_POINT('Ctrl Pts',(0.332915470246706,-0.260549384363487, +0.0341384887997809)); +#29520=CARTESIAN_POINT('Ctrl Pts',(0.333005895708262,-0.25903632484988, +0.0319758884617926)); +#29521=CARTESIAN_POINT('Ctrl Pts',(0.333071278874341,-0.257415651531705, +0.0298875707564192)); +#29522=CARTESIAN_POINT('Ctrl Pts',(0.333110557075512,-0.255695904920246, +0.0278846747500083)); +#29523=CARTESIAN_POINT('Ctrl Pts',(0.333206530010714,-0.251493850722576, +0.0229907691506845)); +#29524=CARTESIAN_POINT('Ctrl Pts',(0.333146649112722,-0.246695892314944, +0.0186070585913586)); +#29525=CARTESIAN_POINT('Ctrl Pts',(0.33293058145352,-0.2414470972097,0.0148642405372234)); +#29526=CARTESIAN_POINT('Ctrl Pts',(0.332856177485254,-0.239639648626754, +0.0135753826083988)); +#29527=CARTESIAN_POINT('Ctrl Pts',(0.332763252838912,-0.237779040195136, +0.0123621789598019)); +#29528=CARTESIAN_POINT('Ctrl Pts',(0.332651793919804,-0.235872050870939, +0.0112288181168639)); +#29529=CARTESIAN_POINT('Ctrl Pts',(0.332333694892852,-0.23042958423583, +0.00799425434927329)); +#29530=CARTESIAN_POINT('Ctrl Pts',(0.331864632845209,-0.224606298319305, +0.0054126349012812)); +#29531=CARTESIAN_POINT('Ctrl Pts',(0.331256779844745,-0.21857717355372, +0.00355317608155467)); +#29532=CARTESIAN_POINT('Ctrl Pts',(0.331126101427779,-0.217281010699446, +0.00315342295902195)); +#29533=CARTESIAN_POINT('Ctrl Pts',(0.330989008378652,-0.215975395538322, +0.00278686464014997)); +#29534=CARTESIAN_POINT('Ctrl Pts',(0.330845621640234,-0.214662149568053, +0.00245388549795989)); +#29535=CARTESIAN_POINT('Ctrl Pts',(0.330143113350055,-0.208228038636089, +0.00082248910506399)); +#29536=CARTESIAN_POINT('Ctrl Pts',(0.329289530883972,-0.201609772101342, +-3.22891912895676E-17)); +#29537=CARTESIAN_POINT('Ctrl Pts',(0.328305346215865,-0.195,-3.24420275302207E-17)); +#29538=CARTESIAN_POINT('',(0.328638054202506,-0.275,0.08)); +#29539=CARTESIAN_POINT('Origin',(0.79,-0.195,0.08)); +#29540=CARTESIAN_POINT('',(-0.47,-0.275,0.08)); +#29541=CARTESIAN_POINT('',(-0.32863805410135,-0.275,0.08)); +#29542=CARTESIAN_POINT('',(-0.47,-0.195,-3.70535821667328E-17)); +#29543=CARTESIAN_POINT('Origin',(-0.47,-0.195,0.08)); +#29544=CARTESIAN_POINT('',(-0.328304441149983,-0.195,-2.78076589229334E-17)); +#29545=CARTESIAN_POINT('Origin',(0.79,-0.195,0.08)); +#29546=CARTESIAN_POINT('',(0.47,-0.195,3.40214041560867E-17)); +#29547=CARTESIAN_POINT('',(0.47,-0.195,2.5063314161831E-17)); +#29548=CARTESIAN_POINT('',(0.47,-0.275,0.08)); +#29549=CARTESIAN_POINT('Origin',(0.47,-0.195,0.08)); +#29550=CARTESIAN_POINT('',(0.47,-0.275,0.08)); +#29551=CARTESIAN_POINT('Origin',(0.55,-0.275,3.03616410015115E-17)); +#29552=CARTESIAN_POINT('',(0.324064914997096,-0.175057439358956,1.53981669403066E-17)); +#29553=CARTESIAN_POINT('Origin',(0.170000000006014,-0.218231528449411,5.19458851342711E-18)); +#29554=CARTESIAN_POINT('',(-0.324064914997096,-0.175057439358956,-2.75268789828913E-17)); +#29555=CARTESIAN_POINT('Origin',(-0.170000000006014,-0.218231528449411, +-1.73233005560119E-17)); +#29556=CARTESIAN_POINT('',(-0.324064914997096,0.175057439358956,-2.75268789828913E-17)); +#29557=CARTESIAN_POINT('Origin',(-0.94875,0.,-6.88992008856291E-17)); +#29558=CARTESIAN_POINT('',(-0.328304897716871,0.195000021528542,-4.05008281772779E-17)); +#29559=CARTESIAN_POINT('Origin',(-0.170000000006014,0.218231528449411,-1.73233005560119E-17)); +#29560=CARTESIAN_POINT('',(0.328304898348681,0.195000021558334,3.64871857281818E-18)); +#29561=CARTESIAN_POINT('',(0.328304441149982,0.195,1.56789468803486E-17)); +#29562=CARTESIAN_POINT('',(0.324064914997096,0.175057439358956,1.53981669403066E-17)); +#29563=CARTESIAN_POINT('Origin',(0.170000000006014,0.218231528449411,5.19458851342711E-18)); +#29564=CARTESIAN_POINT('Origin',(0.94875,0.,5.67704888430444E-17)); +#29565=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29566=CARTESIAN_POINT('',(-0.328638526621052,-0.275,0.520000020057077)); +#29567=CARTESIAN_POINT('',(-0.325110356358112,-0.275,0.501579155494278)); +#29568=CARTESIAN_POINT('Origin',(-0.169999999577077,-0.275,0.540831891447723)); +#29569=CARTESIAN_POINT('',(-0.325110356257087,-0.275,0.0984208454393969)); +#29570=CARTESIAN_POINT('Origin',(-1.12166666666667,-0.275,0.3)); +#29571=CARTESIAN_POINT('Origin',(-0.169999999577077,-0.275,0.0591681096212122)); +#29572=CARTESIAN_POINT('',(0.325110356602693,-0.275,0.0984208440392493)); +#29573=CARTESIAN_POINT('Origin',(0.169999999806152,-0.275,0.0591681086470916)); +#29574=CARTESIAN_POINT('',(0.325110356465609,-0.275,0.501579155437933)); +#29575=CARTESIAN_POINT('Origin',(1.12166666666667,-0.275,0.3)); +#29576=CARTESIAN_POINT('',(0.328638526838251,-0.275,0.520000020056255)); +#29577=CARTESIAN_POINT('Origin',(0.169999999806152,-0.275,0.540831891390666)); +#29578=CARTESIAN_POINT('',(-0.328638053960981,-0.275,0.52)); +#29579=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29580=CARTESIAN_POINT('',(-0.47,-0.275,0.52)); +#29581=CARTESIAN_POINT('',(-0.47,-0.275,0.52)); +#29582=CARTESIAN_POINT('',(-0.47,-0.275,0.08)); +#29583=CARTESIAN_POINT('Origin',(-0.47,-0.195,0.08)); +#29584=CARTESIAN_POINT('',(-0.55,-0.195,0.08)); +#29585=CARTESIAN_POINT('Origin',(-0.47,-0.195,0.08)); +#29586=CARTESIAN_POINT('Origin',(-0.47,-0.195,0.08)); +#29587=CARTESIAN_POINT('Origin',(0.55,-0.275,3.03616410015115E-17)); +#29588=CARTESIAN_POINT('',(-0.47,0.195,-3.70535821667328E-17)); +#29589=CARTESIAN_POINT('',(-0.47,-0.195,-3.71920262044157E-17)); +#29590=CARTESIAN_POINT('',(-0.328304441149982,0.195,-2.78076589229334E-17)); +#29591=CARTESIAN_POINT('Origin',(0.55,-0.275,3.03616410015115E-17)); +#29592=CARTESIAN_POINT('',(0.47,0.195,3.40214041560867E-17)); +#29593=CARTESIAN_POINT('',(0.47,0.195,2.5063314161831E-17)); +#29594=CARTESIAN_POINT('',(0.47,-0.195,2.5063314161831E-17)); +#29595=CARTESIAN_POINT('Origin',(0.47,-0.195,0.08)); +#29596=CARTESIAN_POINT('',(0.55,-0.195,0.08)); +#29597=CARTESIAN_POINT('Origin',(0.47,-0.195,0.08)); +#29598=CARTESIAN_POINT('Origin',(0.47,-0.195,0.08)); +#29599=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29600=CARTESIAN_POINT('',(0.47,-0.275,0.52)); +#29601=CARTESIAN_POINT('',(0.47,-0.275,0.52)); +#29602=CARTESIAN_POINT('',(0.328638054197548,-0.275,0.52)); +#29603=CARTESIAN_POINT('Origin',(0.79,0.195,0.08)); +#29604=CARTESIAN_POINT('',(0.328638525596638,0.275,0.0799999799966424)); +#29605=CARTESIAN_POINT('Ctrl Pts',(0.328638990521911,0.275,0.08)); +#29606=CARTESIAN_POINT('Ctrl Pts',(0.329668877491188,0.275,0.0723002101732808)); +#29607=CARTESIAN_POINT('Ctrl Pts',(0.330559312671217,0.273884100474782, +0.0646205337544113)); +#29608=CARTESIAN_POINT('Ctrl Pts',(0.331268826516404,0.27169480695001,0.0572424389070218)); +#29609=CARTESIAN_POINT('Ctrl Pts',(0.33148915688549,0.271014950096443,0.0549512667587856)); +#29610=CARTESIAN_POINT('Ctrl Pts',(0.331692040415385,0.270231953525853, +0.0526891172802079)); +#29611=CARTESIAN_POINT('Ctrl Pts',(0.331876235254381,0.269348103657249, +0.0504642676987533)); +#29612=CARTESIAN_POINT('Ctrl Pts',(0.332351860486517,0.267065839391763, +0.044719293494187)); +#29613=CARTESIAN_POINT('Ctrl Pts',(0.33270287547695,0.264106666532722,0.039222875474083)); +#29614=CARTESIAN_POINT('Ctrl Pts',(0.332915470499822,0.260549384382813, +0.0341384888274024)); +#29615=CARTESIAN_POINT('Ctrl Pts',(0.333005895973159,0.259036324867815, +0.0319758884854863)); +#29616=CARTESIAN_POINT('Ctrl Pts',(0.333071279150826,0.257415651547818, +0.0298875707762639)); +#29617=CARTESIAN_POINT('Ctrl Pts',(0.333110557363483,0.255695904934122, +0.0278846747661682)); +#29618=CARTESIAN_POINT('Ctrl Pts',(0.33320653032659,0.251493850737965,0.0229907691659707)); +#29619=CARTESIAN_POINT('Ctrl Pts',(0.333146649456412,0.246695892331319, +0.0186070586050383)); +#29620=CARTESIAN_POINT('Ctrl Pts',(0.332930581826479,0.241447097226181, +0.0148642405489756)); +#29621=CARTESIAN_POINT('Ctrl Pts',(0.332856177868203,0.239639648641092, +0.013575382617933)); +#29622=CARTESIAN_POINT('Ctrl Pts',(0.332763253231978,0.2377790402071,0.012362178967264)); +#29623=CARTESIAN_POINT('Ctrl Pts',(0.332651794323178,0.235872050880314, +0.0112288181224355)); +#29624=CARTESIAN_POINT('Ctrl Pts',(0.332333695325045,0.230429584227537, +0.00799425434334094)); +#29625=CARTESIAN_POINT('Ctrl Pts',(0.331864633307206,0.22460629829015,0.00541263488776749)); +#29626=CARTESIAN_POINT('Ctrl Pts',(0.331256780340318,0.218577173501365, +0.00355317606540765)); +#29627=CARTESIAN_POINT('Ctrl Pts',(0.331126101932923,0.217281010665446, +0.00315342294950819)); +#29628=CARTESIAN_POINT('Ctrl Pts',(0.330989008893773,0.215975395522911, +0.00278686463630253)); +#29629=CARTESIAN_POINT('Ctrl Pts',(0.330845622165758,0.214662149571377, +0.00245388549880275)); +#29630=CARTESIAN_POINT('Ctrl Pts',(0.330143113916661,0.208228038640635, +0.000822489105922962)); +#29631=CARTESIAN_POINT('Ctrl Pts',(0.329289531497643,0.201609772105439, +-3.22891912463948E-17)); +#29632=CARTESIAN_POINT('Ctrl Pts',(0.328305346891021,0.195,-3.24420274827793E-17)); +#29633=CARTESIAN_POINT('',(-0.328638526769375,0.275,0.0799999799425865)); +#29634=CARTESIAN_POINT('Ctrl Pts',(-0.328638992951061,0.275,0.08)); +#29635=CARTESIAN_POINT('Ctrl Pts',(-0.329669077779157,0.275,0.0722990921713214)); +#29636=CARTESIAN_POINT('Ctrl Pts',(-0.33055925995128,0.273883778003641, +0.0646185984288473)); +#29637=CARTESIAN_POINT('Ctrl Pts',(-0.331268642394921,0.271694093039327, +0.0572400331090954)); +#29638=CARTESIAN_POINT('Ctrl Pts',(-0.331486825677893,0.271020616188482, +0.0549706231180318)); +#29639=CARTESIAN_POINT('Ctrl Pts',(-0.331687905663508,0.270245956665032, +0.0527297151730093)); +#29640=CARTESIAN_POINT('Ctrl Pts',(-0.331870692438436,0.269372340518533, +0.0505253504550873)); +#29641=CARTESIAN_POINT('Ctrl Pts',(-0.332347783449034,0.267092118602195, +0.0447717471574028)); +#29642=CARTESIAN_POINT('Ctrl Pts',(-0.332700250122032,0.264133298443668, +0.0392669699266958)); +#29643=CARTESIAN_POINT('Ctrl Pts',(-0.332913812049095,0.260574801881793, +0.034174839245633)); +#29644=CARTESIAN_POINT('Ctrl Pts',(-0.333004021560419,0.259071677049052, +0.0320239005148566)); +#29645=CARTESIAN_POINT('Ctrl Pts',(-0.333069446918666,0.257462141344881, +0.0299463307803634)); +#29646=CARTESIAN_POINT('Ctrl Pts',(-0.333109011841722,0.255754564789243, +0.0279530706259279)); +#29647=CARTESIAN_POINT('Ctrl Pts',(-0.333206244908393,0.251558097508293, +0.0230545195226719)); +#29648=CARTESIAN_POINT('Ctrl Pts',(-0.333147291600217,0.246765102640041, +0.0186653936183089)); +#29649=CARTESIAN_POINT('Ctrl Pts',(-0.33293248148493,0.241520448301915, +0.0149166081877347)); +#29650=CARTESIAN_POINT('Ctrl Pts',(-0.332858917158695,0.23972435288899, +0.0136327912034673)); +#29651=CARTESIAN_POINT('Ctrl Pts',(-0.332767073899418,0.237875584883867, +0.012423734314219)); +#29652=CARTESIAN_POINT('Ctrl Pts',(-0.332656964943875,0.235980805122992, +0.0112935693586739)); +#29653=CARTESIAN_POINT('Ctrl Pts',(-0.33234131820183,0.23054908473782,0.00805375217548561)); +#29654=CARTESIAN_POINT('Ctrl Pts',(-0.331875567009743,0.224736183659285, +0.00546486826582582)); +#29655=CARTESIAN_POINT('Ctrl Pts',(-0.331270876001956,0.218716629749404, +0.00359632552468617)); +#29656=CARTESIAN_POINT('Ctrl Pts',(-0.331139032154789,0.21740415588178, +0.00318891767437065)); +#29657=CARTESIAN_POINT('Ctrl Pts',(-0.331000583207092,0.216081921120676, +0.00281556754826368)); +#29658=CARTESIAN_POINT('Ctrl Pts',(-0.330855644882902,0.214751821676708, +0.00247667744187219)); +#29659=CARTESIAN_POINT('Ctrl Pts',(-0.330151455984544,0.208289478004754, +0.000830165713400484)); +#29660=CARTESIAN_POINT('Ctrl Pts',(-0.32929408257741,0.201640475729079, +-7.85656817756642E-17)); +#29661=CARTESIAN_POINT('Ctrl Pts',(-0.328305345639364,0.195,-7.85802748917858E-17)); +#29662=CARTESIAN_POINT('',(0.328638054202506,0.275,0.08)); +#29663=CARTESIAN_POINT('Origin',(-0.79,-0.195,0.52)); +#29664=CARTESIAN_POINT('',(-0.32830489771776,-0.195000021528584,0.6)); +#29665=CARTESIAN_POINT('Ctrl Pts',(-0.328638992794892,-0.275,0.52)); +#29666=CARTESIAN_POINT('Ctrl Pts',(-0.329669078498835,-0.275,0.527700906724272)); +#29667=CARTESIAN_POINT('Ctrl Pts',(-0.330559261245927,-0.273883778322409, +0.535381399429884)); +#29668=CARTESIAN_POINT('Ctrl Pts',(-0.331268644057095,-0.271694093937099, +0.542759963865688)); +#29669=CARTESIAN_POINT('Ctrl Pts',(-0.331486827511598,-0.271020617083788, +0.545029374193275)); +#29670=CARTESIAN_POINT('Ctrl Pts',(-0.331687907639951,-0.270245957528239, +0.547270282473837)); +#29671=CARTESIAN_POINT('Ctrl Pts',(-0.331870694530584,-0.269372341320235, +0.549474647522007)); +#29672=CARTESIAN_POINT('Ctrl Pts',(-0.33234778574456,-0.267092119714727, +0.555228250492287)); +#29673=CARTESIAN_POINT('Ctrl Pts',(-0.332700252488299,-0.264133299944988, +0.560733027464118)); +#29674=CARTESIAN_POINT('Ctrl Pts',(-0.33291381439912,-0.26057480382897, +0.565825157968)); +#29675=CARTESIAN_POINT('Ctrl Pts',(-0.333004023909603,-0.259071679084257, +0.567976096767465)); +#29676=CARTESIAN_POINT('Ctrl Pts',(-0.333069449248182,-0.257462143464104, +0.570053666575372)); +#29677=CARTESIAN_POINT('Ctrl Pts',(-0.333109014135967,-0.255754566988713, +0.572046926806623)); +#29678=CARTESIAN_POINT('Ctrl Pts',(-0.333206247113608,-0.251558100006302, +0.576945477980376)); +#29679=CARTESIAN_POINT('Ctrl Pts',(-0.333147293629712,-0.24676510544215, +0.581334604001137)); +#29680=CARTESIAN_POINT('Ctrl Pts',(-0.332932483310595,-0.241520451403694, +0.585083389595169)); +#29681=CARTESIAN_POINT('Ctrl Pts',(-0.332858918908287,-0.239724355940333, +0.58636720674482)); +#29682=CARTESIAN_POINT('Ctrl Pts',(-0.332767075566524,-0.23787558787528, +0.587576263792215)); +#29683=CARTESIAN_POINT('Ctrl Pts',(-0.332656966524439,-0.235980808046309, +0.588706428897678)); +#29684=CARTESIAN_POINT('Ctrl Pts',(-0.332341319538986,-0.230549087546441, +0.591946246462607)); +#29685=CARTESIAN_POINT('Ctrl Pts',(-0.331875568074608,-0.224736186297381, +0.594535130705831)); +#29686=CARTESIAN_POINT('Ctrl Pts',(-0.331270876828524,-0.218716632174171, +0.596403673722637)); +#29687=CARTESIAN_POINT('Ctrl Pts',(-0.331139032923714,-0.217404158203426, +0.596811081650629)); +#29688=CARTESIAN_POINT('Ctrl Pts',(-0.33100058391942,-0.216081923336326, +0.59718443184872)); +#29689=CARTESIAN_POINT('Ctrl Pts',(-0.330855645540346,-0.214751823783871, +0.597523322021253)); +#29690=CARTESIAN_POINT('Ctrl Pts',(-0.330151456361418,-0.208289479457145, +0.599169834103607)); +#29691=CARTESIAN_POINT('Ctrl Pts',(-0.329294082708098,-0.201640476460467, +0.6)); +#29692=CARTESIAN_POINT('Ctrl Pts',(-0.328305345641125,-0.195,0.6)); +#29693=CARTESIAN_POINT('',(0.32830490016365,-0.195000021643915,0.6)); +#29694=CARTESIAN_POINT('Ctrl Pts',(0.328638992992989,-0.275,0.52)); +#29695=CARTESIAN_POINT('Ctrl Pts',(0.329669078242829,-0.275,0.527700907407403)); +#29696=CARTESIAN_POINT('Ctrl Pts',(0.33055926073103,-0.273883778125245, +0.535381400750339)); +#29697=CARTESIAN_POINT('Ctrl Pts',(0.331268643455843,-0.271694093385142, +0.542759965725614)); +#29698=CARTESIAN_POINT('Ctrl Pts',(0.331486826867809,-0.271020616472025, +0.545029376052942)); +#29699=CARTESIAN_POINT('Ctrl Pts',(0.331687906972451,-0.270245956856567, +0.547270284328279)); +#29700=CARTESIAN_POINT('Ctrl Pts',(0.331870693857802,-0.26937234058914, +0.549474649366751)); +#29701=CARTESIAN_POINT('Ctrl Pts',(0.332347785087455,-0.26709211868771, +0.55522825266706)); +#29702=CARTESIAN_POINT('Ctrl Pts',(0.332700251957022,-0.264133298542483, +0.560733029906499)); +#29703=CARTESIAN_POINT('Ctrl Pts',(0.332913814069655,-0.260574801989128, +0.565825160600773)); +#29704=CARTESIAN_POINT('Ctrl Pts',(0.333004023658348,-0.25907167717692, +0.567976099312897)); +#29705=CARTESIAN_POINT('Ctrl Pts',(0.333069449092561,-0.257462141494836, +0.570053669031174)); +#29706=CARTESIAN_POINT('Ctrl Pts',(0.333109014091081,-0.255754564962356, +0.572046929171998)); +#29707=CARTESIAN_POINT('Ctrl Pts',(0.333206247343904,-0.251558097708254, +0.57694548027689)); +#29708=CARTESIAN_POINT('Ctrl Pts',(0.333147294216612,-0.246765102864756, +0.581334606191356)); +#29709=CARTESIAN_POINT('Ctrl Pts',(0.332932484292399,-0.241520448545948, +0.585083391637835)); +#29710=CARTESIAN_POINT('Ctrl Pts',(0.332858920031157,-0.23972435312884, +0.586367208635267)); +#29711=CARTESIAN_POINT('Ctrl Pts',(0.332767076837848,-0.237875585118276, +0.58757626553745)); +#29712=CARTESIAN_POINT('Ctrl Pts',(0.33265696794987,-0.235980805350727, +0.588706430505491)); +#29713=CARTESIAN_POINT('Ctrl Pts',(0.332341321396226,-0.230549084855469, +0.591946247778751)); +#29714=CARTESIAN_POINT('Ctrl Pts',(0.33187557040063,-0.224736183643904, +0.594535131753272)); +#29715=CARTESIAN_POINT('Ctrl Pts',(0.331270879619553,-0.218716629583583, +0.596403674526787)); +#29716=CARTESIAN_POINT('Ctrl Pts',(0.331139035836723,-0.217404155831543, +0.596811082338101)); +#29717=CARTESIAN_POINT('Ctrl Pts',(0.331000586956293,-0.216081921187411, +0.597184432431362)); +#29718=CARTESIAN_POINT('Ctrl Pts',(0.33085564870249,-0.214751821861228, +0.597523322511115)); +#29719=CARTESIAN_POINT('Ctrl Pts',(0.330151460079149,-0.20828947814702, +0.599169834266732)); +#29720=CARTESIAN_POINT('Ctrl Pts',(0.329294086991553,-0.201640475812978, +0.6)); +#29721=CARTESIAN_POINT('Ctrl Pts',(0.328305350486596,-0.195,0.6)); +#29722=CARTESIAN_POINT('',(-0.328304441149982,-0.195,0.6)); +#29723=CARTESIAN_POINT('Origin',(-0.79,-0.195,0.52)); +#29724=CARTESIAN_POINT('',(-0.47,-0.195,0.6)); +#29725=CARTESIAN_POINT('',(-0.47,-0.195,0.6)); +#29726=CARTESIAN_POINT('Origin',(-0.47,-0.195,0.52)); +#29727=CARTESIAN_POINT('Origin',(-0.47,-0.195,-0.24)); +#29728=CARTESIAN_POINT('',(-0.55,-0.195,0.52)); +#29729=CARTESIAN_POINT('',(-0.55,-0.195,0.0799999999999999)); +#29730=CARTESIAN_POINT('Origin',(-0.47,-0.195,0.52)); +#29731=CARTESIAN_POINT('Origin',(-0.47,-0.595,0.08)); +#29732=CARTESIAN_POINT('',(-0.55,0.195,0.08)); +#29733=CARTESIAN_POINT('',(-0.55,-0.195,0.0799999999999999)); +#29734=CARTESIAN_POINT('Origin',(-0.47,0.195,0.08)); +#29735=CARTESIAN_POINT('Origin',(0.79,0.195,0.08)); +#29736=CARTESIAN_POINT('',(-0.47,0.275,0.08)); +#29737=CARTESIAN_POINT('Origin',(-0.47,0.195,0.08)); +#29738=CARTESIAN_POINT('',(-0.32863805410135,0.275,0.08)); +#29739=CARTESIAN_POINT('Origin',(0.79,0.195,0.08)); +#29740=CARTESIAN_POINT('',(0.47,0.275,0.0800000000000001)); +#29741=CARTESIAN_POINT('',(0.47,0.275,0.08)); +#29742=CARTESIAN_POINT('Origin',(0.47,0.195,0.08)); +#29743=CARTESIAN_POINT('Origin',(0.47,-0.595,0.08)); +#29744=CARTESIAN_POINT('',(0.55,0.195,0.0800000000000001)); +#29745=CARTESIAN_POINT('Origin',(0.47,0.195,0.08)); +#29746=CARTESIAN_POINT('',(0.55,-0.195,0.0800000000000001)); +#29747=CARTESIAN_POINT('Origin',(0.47,-0.195,0.84)); +#29748=CARTESIAN_POINT('',(0.55,-0.195,0.52)); +#29749=CARTESIAN_POINT('',(0.55,-0.195,0.52)); +#29750=CARTESIAN_POINT('Origin',(0.47,-0.195,0.52)); +#29751=CARTESIAN_POINT('Origin',(-0.79,-0.195,0.52)); +#29752=CARTESIAN_POINT('',(0.47,-0.195,0.6)); +#29753=CARTESIAN_POINT('Origin',(0.47,-0.195,0.52)); +#29754=CARTESIAN_POINT('',(0.328304441149982,-0.195,0.6)); +#29755=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#29756=CARTESIAN_POINT('',(-0.32863852535509,0.275,0.520000020003357)); +#29757=CARTESIAN_POINT('',(-0.325110356358112,0.275,0.501579155494278)); +#29758=CARTESIAN_POINT('Origin',(-0.169999999577077,0.275,0.540831891447723)); +#29759=CARTESIAN_POINT('',(0.328638526865634,0.275,0.520000020057417)); +#29760=CARTESIAN_POINT('',(-0.328638053960981,0.275,0.52)); +#29761=CARTESIAN_POINT('',(0.325110356465609,0.275,0.501579155437933)); +#29762=CARTESIAN_POINT('Origin',(0.169999999806152,0.275,0.540831891390666)); +#29763=CARTESIAN_POINT('',(0.325110356602693,0.275,0.0984208440392493)); +#29764=CARTESIAN_POINT('Origin',(1.12166666666667,0.275,0.3)); +#29765=CARTESIAN_POINT('Origin',(0.169999999806152,0.275,0.0591681086470916)); +#29766=CARTESIAN_POINT('',(-0.325110356257087,0.275,0.0984208454393969)); +#29767=CARTESIAN_POINT('Origin',(-0.169999999577077,0.275,0.0591681096212122)); +#29768=CARTESIAN_POINT('Origin',(-1.12166666666667,0.275,0.3)); +#29769=CARTESIAN_POINT('Origin',(-0.55,-0.275,0.6)); +#29770=CARTESIAN_POINT('',(0.324064914997096,-0.175057439358956,0.6)); +#29771=CARTESIAN_POINT('Origin',(0.170000000006014,-0.218231528449411,0.6)); +#29772=CARTESIAN_POINT('',(0.324064914997096,0.175057439358956,0.6)); +#29773=CARTESIAN_POINT('Origin',(0.94875,0.,0.6)); +#29774=CARTESIAN_POINT('',(0.328304897716884,0.195000021528543,0.6)); +#29775=CARTESIAN_POINT('Origin',(0.170000000006014,0.218231528449411,0.6)); +#29776=CARTESIAN_POINT('',(-0.328304898348706,0.195000021558335,0.6)); +#29777=CARTESIAN_POINT('',(-0.328304441149982,0.195,0.6)); +#29778=CARTESIAN_POINT('',(-0.324064914997096,0.175057439358956,0.6)); +#29779=CARTESIAN_POINT('Origin',(-0.170000000006014,0.218231528449411,0.6)); +#29780=CARTESIAN_POINT('',(-0.324064914997096,-0.175057439358956,0.6)); +#29781=CARTESIAN_POINT('Origin',(-0.94875,0.,0.6)); +#29782=CARTESIAN_POINT('Origin',(-0.170000000006014,-0.218231528449411, +0.6)); +#29783=CARTESIAN_POINT('Origin',(-0.55,-0.275,0.6)); +#29784=CARTESIAN_POINT('',(-0.47,0.195,0.6)); +#29785=CARTESIAN_POINT('',(-0.47,0.195,0.6)); +#29786=CARTESIAN_POINT('',(-0.47,-0.195,0.6)); +#29787=CARTESIAN_POINT('Origin',(-0.47,-0.195,0.52)); +#29788=CARTESIAN_POINT('Origin',(-0.47,-0.195,0.52)); +#29789=CARTESIAN_POINT('Origin',(-0.55,-0.275,-4.24903530440963E-17)); +#29790=CARTESIAN_POINT('',(-0.55,0.195,0.52)); +#29791=CARTESIAN_POINT('',(-0.55,-0.195,0.52)); +#29792=CARTESIAN_POINT('',(-0.55,0.195,0.0799999999999999)); +#29793=CARTESIAN_POINT('Origin',(-0.47,0.195,0.08)); +#29794=CARTESIAN_POINT('Origin',(-0.47,0.195,0.08)); +#29795=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#29796=CARTESIAN_POINT('',(-0.47,0.275,0.52)); +#29797=CARTESIAN_POINT('',(-0.47,0.275,0.08)); +#29798=CARTESIAN_POINT('',(-0.47,0.275,0.52)); +#29799=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#29800=CARTESIAN_POINT('',(0.47,0.275,0.52)); +#29801=CARTESIAN_POINT('',(0.328638054197548,0.275,0.52)); +#29802=CARTESIAN_POINT('',(0.47,0.275,0.52)); +#29803=CARTESIAN_POINT('Origin',(0.47,0.195,0.08)); +#29804=CARTESIAN_POINT('Origin',(0.47,0.195,0.0800000000000002)); +#29805=CARTESIAN_POINT('Origin',(0.55,-0.275,0.6)); +#29806=CARTESIAN_POINT('',(0.55,0.195,0.52)); +#29807=CARTESIAN_POINT('',(0.55,0.195,0.52)); +#29808=CARTESIAN_POINT('',(0.55,-0.195,0.52)); +#29809=CARTESIAN_POINT('Origin',(0.47,-0.195,0.52)); +#29810=CARTESIAN_POINT('Origin',(0.47,-0.195,0.52)); +#29811=CARTESIAN_POINT('Origin',(-0.55,-0.275,0.6)); +#29812=CARTESIAN_POINT('',(0.47,0.195,0.6)); +#29813=CARTESIAN_POINT('',(0.47,-0.195,0.6)); +#29814=CARTESIAN_POINT('',(0.328304441149982,0.195,0.6)); +#29815=CARTESIAN_POINT('Origin',(-0.79,0.195,0.52)); +#29816=CARTESIAN_POINT('Ctrl Pts',(-0.328638990280341,0.275,0.52)); +#29817=CARTESIAN_POINT('Ctrl Pts',(-0.329668877254367,0.275,0.527699789829021)); +#29818=CARTESIAN_POINT('Ctrl Pts',(-0.330559312445608,0.273884100474118, +0.535379466250094)); +#29819=CARTESIAN_POINT('Ctrl Pts',(-0.331268826305966,0.271694806948103, +0.542757561099406)); +#29820=CARTESIAN_POINT('Ctrl Pts',(-0.331489156680215,0.271014950092759, +0.545048733252926)); +#29821=CARTESIAN_POINT('Ctrl Pts',(-0.331692040215582,0.270231953519914, +0.547310882736643)); +#29822=CARTESIAN_POINT('Ctrl Pts',(-0.331876235060278,0.269348103648594, +0.549535732323034)); +#29823=CARTESIAN_POINT('Ctrl Pts',(-0.332351860306708,0.267065839378131, +0.555280706535221)); +#29824=CARTESIAN_POINT('Ctrl Pts',(-0.332702875313177,0.264106666512246, +0.560777124562032)); +#29825=CARTESIAN_POINT('Ctrl Pts',(-0.332915470352371,0.260549384353795, +0.565861511214072)); +#29826=CARTESIAN_POINT('Ctrl Pts',(-0.333005895832232,0.259036324842164, +0.568024111548263)); +#29827=CARTESIAN_POINT('Ctrl Pts',(-0.333071279016706,0.257415651526223, +0.570112429250205)); +#29828=CARTESIAN_POINT('Ctrl Pts',(-0.333110557236357,0.255695904917166, +0.572115325253579)); +#29829=CARTESIAN_POINT('Ctrl Pts',(-0.333206530216779,0.251493850722499, +0.577009230848821)); +#29830=CARTESIAN_POINT('Ctrl Pts',(-0.333146649364297,0.246695892318051, +0.581392941405726)); +#29831=CARTESIAN_POINT('Ctrl Pts',(-0.332930581750845,0.241447097215464, +0.585135759458667)); +#29832=CARTESIAN_POINT('Ctrl Pts',(-0.332856177798319,0.239639648633091, +0.586424617387324)); +#29833=CARTESIAN_POINT('Ctrl Pts',(-0.332763253167739,0.237779040201965, +0.587637821035868)); +#29834=CARTESIAN_POINT('Ctrl Pts',(-0.332651794264411,0.235872050878164, +0.588771181878842)); +#29835=CARTESIAN_POINT('Ctrl Pts',(-0.332333695282083,0.230429584237088, +0.592005745650753)); +#29836=CARTESIAN_POINT('Ctrl Pts',(-0.331864633278826,0.224606298312781, +0.594587365102112)); +#29837=CARTESIAN_POINT('Ctrl Pts',(-0.331256780323878,0.218577173537725, +0.596446823923378)); +#29838=CARTESIAN_POINT('Ctrl Pts',(-0.331126101917872,0.217281010693079, +0.596846577042645)); +#29839=CARTESIAN_POINT('Ctrl Pts',(-0.330989008879874,0.215975395541676, +0.597213135358763)); +#29840=CARTESIAN_POINT('Ctrl Pts',(-0.330845622152762,0.214662149581176, +0.597546114498713)); +#29841=CARTESIAN_POINT('Ctrl Pts',(-0.330143113912514,0.208228038647028, +0.599177510893321)); +#29842=CARTESIAN_POINT('Ctrl Pts',(-0.329289531498282,0.20160977210837, +0.6)); +#29843=CARTESIAN_POINT('Ctrl Pts',(-0.328305346891071,0.195,0.6)); +#29844=CARTESIAN_POINT('Ctrl Pts',(0.328305345639389,0.195,0.6)); +#29845=CARTESIAN_POINT('Ctrl Pts',(0.329422044017259,0.202499880084336, +0.6)); +#29846=CARTESIAN_POINT('Ctrl Pts',(0.330367412932865,0.209989568353478, +0.598941053919863)); +#29847=CARTESIAN_POINT('Ctrl Pts',(0.33111658566852,0.217203066856428,0.596857165067214)); +#29848=CARTESIAN_POINT('Ctrl Pts',(0.331350224612882,0.219452687131133, +0.5962072781072)); +#29849=CARTESIAN_POINT('Ctrl Pts',(0.331564781856084,0.221675484276956, +0.595458083866657)); +#29850=CARTESIAN_POINT('Ctrl Pts',(0.331759503160354,0.223863503922302, +0.594611648831314)); +#29851=CARTESIAN_POINT('Ctrl Pts',(0.332270555129753,0.229606027849178, +0.592390154406736)); +#29852=CARTESIAN_POINT('Ctrl Pts',(0.332644973988645,0.235109543339825, +0.589494277298926)); +#29853=CARTESIAN_POINT('Ctrl Pts',(0.332876465623446,0.24021055854157,0.586000040881497)); +#29854=CARTESIAN_POINT('Ctrl Pts',(0.332979593738054,0.242483029772756, +0.584443379820998)); +#29855=CARTESIAN_POINT('Ctrl Pts',(0.333054355825711,0.244675884649902, +0.582768608810695)); +#29856=CARTESIAN_POINT('Ctrl Pts',(0.333100195393163,0.246776309000434, +0.580985357474492)); +#29857=CARTESIAN_POINT('Ctrl Pts',(0.333207933686362,0.251713007732566, +0.576794121256785)); +#29858=CARTESIAN_POINT('Ctrl Pts',(0.333155901958086,0.25613875252253,0.571999508755186)); +#29859=CARTESIAN_POINT('Ctrl Pts',(0.332951729022697,0.259920985373803, +0.566746825112456)); +#29860=CARTESIAN_POINT('Ctrl Pts',(0.332909396140529,0.260705187341226, +0.565657742285232)); +#29861=CARTESIAN_POINT('Ctrl Pts',(0.332860522830078,0.261461860757415, +0.564549084380197)); +#29862=CARTESIAN_POINT('Ctrl Pts',(0.33280517708933,0.262190045736321,0.563422318615558)); +#29863=CARTESIAN_POINT('Ctrl Pts',(0.332542575714791,0.265645097087954, +0.558076103449278)); +#29864=CARTESIAN_POINT('Ctrl Pts',(0.332134263130704,0.268455842597288, +0.552319200474804)); +#29865=CARTESIAN_POINT('Ctrl Pts',(0.331599270905179,0.270544598885338, +0.546325151077505)); +#29866=CARTESIAN_POINT('Ctrl Pts',(0.331476512203545,0.271023882504272, +0.544949763386923)); +#29867=CARTESIAN_POINT('Ctrl Pts',(0.33134708364593,0.271465354627958,0.543561969014941)); +#29868=CARTESIAN_POINT('Ctrl Pts',(0.331211215158831,0.271868444696279, +0.542163984523889)); +#29869=CARTESIAN_POINT('Ctrl Pts',(0.330510843224431,0.273946284649555, +0.534957684349656)); +#29870=CARTESIAN_POINT('Ctrl Pts',(0.329639351159847,0.275,0.527478670720712)); +#29871=CARTESIAN_POINT('Ctrl Pts',(0.32863899304738,0.275,0.52)); +#29872=CARTESIAN_POINT('Origin',(-0.79,0.195,0.52)); +#29873=CARTESIAN_POINT('Origin',(-0.47,0.195,0.52)); +#29874=CARTESIAN_POINT('Origin',(-0.47,-0.595,0.52)); +#29875=CARTESIAN_POINT('Origin',(-0.47,0.195,0.52)); +#29876=CARTESIAN_POINT('Origin',(-0.47,0.195,-0.24)); +#29877=CARTESIAN_POINT('Origin',(-0.47,0.195,0.52)); +#29878=CARTESIAN_POINT('Origin',(-0.79,0.195,0.52)); +#29879=CARTESIAN_POINT('Origin',(0.47,0.195,0.52)); +#29880=CARTESIAN_POINT('Origin',(0.47,0.195,0.84)); +#29881=CARTESIAN_POINT('Origin',(0.47,0.195,0.52)); +#29882=CARTESIAN_POINT('Origin',(0.47,-0.595,0.52)); +#29883=CARTESIAN_POINT('Origin',(0.47,0.195,0.52)); +#29884=CARTESIAN_POINT('Origin',(-0.47,0.195,0.52)); +#29885=CARTESIAN_POINT('Origin',(0.47,0.195,0.52)); +#29886=CARTESIAN_POINT('',(0.,0.,0.)); +#29887=CARTESIAN_POINT('Origin',(0.765,-0.195,0.0799999999999999)); +#29888=CARTESIAN_POINT('',(-0.253304983704347,-0.275000000000005,0.0800000003061609)); +#29889=CARTESIAN_POINT('',(-0.253305003855558,-0.194999999682555,-9.87781095715759E-14)); +#29890=CARTESIAN_POINT('Origin',(-0.241372257633413,-0.194998402201166, +0.0800012313894534)); +#29891=CARTESIAN_POINT('',(0.253304990894875,-0.194999999690006,-9.79883336364689E-14)); +#29892=CARTESIAN_POINT('',(0.253304441105749,-0.195,-5.53457924669852E-17)); +#29893=CARTESIAN_POINT('',(0.253304983414853,-0.275000000000004,0.0800000003058646)); +#29894=CARTESIAN_POINT('Origin',(0.241372316118012,-0.194998397367035,0.0800012267024439)); +#29895=CARTESIAN_POINT('',(0.253304441147096,-0.275,0.0799999999999999)); +#29896=CARTESIAN_POINT('Origin',(0.765,-0.195,0.0799999999999999)); +#29897=CARTESIAN_POINT('',(-0.445,-0.275,0.08)); +#29898=CARTESIAN_POINT('',(-0.253305526446834,-0.275,0.08)); +#29899=CARTESIAN_POINT('',(-0.445,-0.195,1.48142979663845E-17)); +#29900=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29901=CARTESIAN_POINT('',(-0.253305566872663,-0.195,-1.04851346257071E-18)); +#29902=CARTESIAN_POINT('Origin',(0.765,-0.195,0.0799999999999999)); +#29903=CARTESIAN_POINT('',(0.445,-0.195,-6.12208032183277E-17)); +#29904=CARTESIAN_POINT('',(0.445,-0.195,-7.58912753458624E-17)); +#29905=CARTESIAN_POINT('',(0.445,-0.275,0.0799999999999999)); +#29906=CARTESIAN_POINT('Origin',(0.445,-0.195,0.08)); +#29907=CARTESIAN_POINT('',(0.445,-0.275,0.0799999999999999)); +#29908=CARTESIAN_POINT('Origin',(0.525,-0.275,-8.44654887485677E-17)); +#29909=CARTESIAN_POINT('',(0.249064914929911,-0.175057439130426,-5.48914099400103E-17)); +#29910=CARTESIAN_POINT('Origin',(0.0949999999200623,-0.218231528165135, +-3.83790917004591E-17)); +#29911=CARTESIAN_POINT('',(-0.249064914909655,-0.175057439048503,-1.50301664878862E-18)); +#29912=CARTESIAN_POINT('Origin',(-0.094999999756171,-0.218231527561008, +-1.80153349037344E-17)); +#29913=CARTESIAN_POINT('',(-0.249064914686015,0.175057438262002,-1.50301667275788E-18)); +#29914=CARTESIAN_POINT('Origin',(-0.87375,0.,6.54492737143583E-17)); +#29915=CARTESIAN_POINT('',(-0.253304983370935,0.194999999694312,-8.87297238380898E-14)); +#29916=CARTESIAN_POINT('Origin',(-0.0949999997561709,0.218231527584109, +-1.80153349037345E-17)); +#29917=CARTESIAN_POINT('',(0.253304937667593,0.194999998651573,-9.16739401809763E-14)); +#29918=CARTESIAN_POINT('',(0.253304441092234,0.195,-5.53457924655367E-17)); +#29919=CARTESIAN_POINT('',(0.24906491490715,0.175057439049205,-5.48914099375708E-17)); +#29920=CARTESIAN_POINT('Origin',(0.0949999998916883,0.218231528063882,-3.8379091697418E-17)); +#29921=CARTESIAN_POINT('Origin',(0.87375,0.,-1.21843700300986E-16)); +#29922=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29923=CARTESIAN_POINT('',(-0.253304990659875,-0.275,0.469999999690242)); +#29924=CARTESIAN_POINT('',(-0.249064914992237,-0.275,0.450057439341622)); +#29925=CARTESIAN_POINT('Origin',(-0.0949999999999566,-0.275,0.493231528427802)); +#29926=CARTESIAN_POINT('',(-0.249064914992237,-0.275,0.0999425606583782)); +#29927=CARTESIAN_POINT('Origin',(-0.87375,-0.275,0.275)); +#29928=CARTESIAN_POINT('Origin',(-0.0949999999999566,-0.275,0.056768471572198)); +#29929=CARTESIAN_POINT('',(0.249064914992237,-0.275,0.0999425606583786)); +#29930=CARTESIAN_POINT('Origin',(0.0949999999999565,-0.275,0.0567684715721984)); +#29931=CARTESIAN_POINT('',(0.24906491498888,-0.275,0.450057439336122)); +#29932=CARTESIAN_POINT('Origin',(0.87375,-0.275,0.275)); +#29933=CARTESIAN_POINT('',(0.253304937512202,-0.275,0.469999998652284)); +#29934=CARTESIAN_POINT('Origin',(0.0949999999948652,-0.275,0.493231528422592)); +#29935=CARTESIAN_POINT('',(-0.253304441147096,-0.275,0.47)); +#29936=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29937=CARTESIAN_POINT('',(-0.445,-0.275,0.47)); +#29938=CARTESIAN_POINT('',(-0.445,-0.275,0.47)); +#29939=CARTESIAN_POINT('',(-0.445,-0.275,0.08)); +#29940=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29941=CARTESIAN_POINT('',(-0.525,-0.195,0.08)); +#29942=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29943=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.08)); +#29944=CARTESIAN_POINT('Origin',(0.525,-0.275,-8.44654887485677E-17)); +#29945=CARTESIAN_POINT('',(-0.445,0.195,1.48142979663845E-17)); +#29946=CARTESIAN_POINT('',(-0.445,-0.195,1.94968487592344E-17)); +#29947=CARTESIAN_POINT('',(-0.253305525899693,0.195,-1.04851785395811E-18)); +#29948=CARTESIAN_POINT('Origin',(0.525,-0.275,-8.44654887485677E-17)); +#29949=CARTESIAN_POINT('',(0.445,0.195,-6.12208032183277E-17)); +#29950=CARTESIAN_POINT('',(0.445,0.195,-7.58912753458624E-17)); +#29951=CARTESIAN_POINT('',(0.445,-0.195,-7.58912753458624E-17)); +#29952=CARTESIAN_POINT('Origin',(0.445,-0.195,0.08)); +#29953=CARTESIAN_POINT('',(0.525,-0.195,0.0799999999999999)); +#29954=CARTESIAN_POINT('Origin',(0.445,-0.195,0.08)); +#29955=CARTESIAN_POINT('Origin',(0.445,-0.195,0.0799999999999999)); +#29956=CARTESIAN_POINT('Origin',(0.,-0.275,0.)); +#29957=CARTESIAN_POINT('',(0.445,-0.275,0.47)); +#29958=CARTESIAN_POINT('',(0.445,-0.275,0.47)); +#29959=CARTESIAN_POINT('',(0.253304441142769,-0.275,0.47)); +#29960=CARTESIAN_POINT('Origin',(0.765,0.195,0.0799999999999999)); +#29961=CARTESIAN_POINT('',(0.253304983482678,0.275000000000004,0.0800000003059339)); +#29962=CARTESIAN_POINT('Origin',(0.241372192281124,0.19499839857558,0.0800012278587561)); +#29963=CARTESIAN_POINT('',(-0.253304983384775,0.274999999999999,0.0800000003057128)); +#29964=CARTESIAN_POINT('Origin',(-0.241372432031562,0.194998550030101,0.0800010891063884)); +#29965=CARTESIAN_POINT('',(0.253304441147096,0.275,0.0799999999999999)); +#29966=CARTESIAN_POINT('Origin',(-0.765,-0.195,0.47)); +#29967=CARTESIAN_POINT('',(-0.253304982890659,-0.194999999694617,0.550000000000088)); +#29968=CARTESIAN_POINT('Origin',(-0.241372467044333,-0.194998551219096, +0.469998909743847)); +#29969=CARTESIAN_POINT('',(0.253304983447005,-0.194999999694315,0.550000000000089)); +#29970=CARTESIAN_POINT('Origin',(0.241372343289058,-0.194998550077497,0.469998910953248)); +#29971=CARTESIAN_POINT('',(-0.253304441030515,-0.195,0.55)); +#29972=CARTESIAN_POINT('Origin',(-0.765,-0.195,0.47)); +#29973=CARTESIAN_POINT('',(-0.445,-0.195,0.55)); +#29974=CARTESIAN_POINT('',(-0.445,-0.195,0.55)); +#29975=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29976=CARTESIAN_POINT('Origin',(-0.445,-0.195,-0.32)); +#29977=CARTESIAN_POINT('',(-0.525,-0.195,0.47)); +#29978=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#29979=CARTESIAN_POINT('',(-0.525,-0.195,0.0800000000000001)); +#29980=CARTESIAN_POINT('Origin',(-0.445,-0.515,0.08)); +#29981=CARTESIAN_POINT('',(-0.525,0.195,0.0800000000000001)); +#29982=CARTESIAN_POINT('',(-0.525,-0.195,0.08)); +#29983=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29984=CARTESIAN_POINT('Origin',(0.765,0.195,0.0799999999999999)); +#29985=CARTESIAN_POINT('',(-0.445,0.275,0.08)); +#29986=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#29987=CARTESIAN_POINT('',(-0.253305525807417,0.275,0.08)); +#29988=CARTESIAN_POINT('Origin',(0.765,0.195,0.0799999999999999)); +#29989=CARTESIAN_POINT('',(0.445,0.275,0.0799999999999999)); +#29990=CARTESIAN_POINT('',(0.445,0.275,0.0799999999999999)); +#29991=CARTESIAN_POINT('Origin',(0.445,0.195,0.0799999999999999)); +#29992=CARTESIAN_POINT('Origin',(0.445,-0.515,0.0799999999999999)); +#29993=CARTESIAN_POINT('',(0.525,0.195,0.0799999999999999)); +#29994=CARTESIAN_POINT('',(0.525,-0.195,0.0799999999999998)); +#29995=CARTESIAN_POINT('Origin',(0.445,0.195,0.08)); +#29996=CARTESIAN_POINT('Origin',(0.445,-0.195,0.87)); +#29997=CARTESIAN_POINT('',(0.525,-0.195,0.47)); +#29998=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#29999=CARTESIAN_POINT('',(0.525,-0.195,0.47)); +#30000=CARTESIAN_POINT('Origin',(-0.765,-0.195,0.47)); +#30001=CARTESIAN_POINT('',(0.445,-0.195,0.55)); +#30002=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#30003=CARTESIAN_POINT('',(0.253305525973207,-0.195,0.55)); +#30004=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#30005=CARTESIAN_POINT('',(-0.253304983489075,0.275000000000004,0.469999999694063)); +#30006=CARTESIAN_POINT('',(-0.249064914992237,0.275,0.450057439341622)); +#30007=CARTESIAN_POINT('Origin',(-0.0949999999999566,0.275,0.493231528427802)); +#30008=CARTESIAN_POINT('',(0.253304982921634,0.275000000000094,0.469999999694475)); +#30009=CARTESIAN_POINT('',(-0.253304441147096,0.275,0.47)); +#30010=CARTESIAN_POINT('',(0.24906491498888,0.275,0.450057439336122)); +#30011=CARTESIAN_POINT('Origin',(0.0949999999948652,0.275,0.493231528422592)); +#30012=CARTESIAN_POINT('',(0.249064914992237,0.275,0.0999425606583786)); +#30013=CARTESIAN_POINT('Origin',(0.87375,0.275,0.275)); +#30014=CARTESIAN_POINT('Origin',(0.0949999999999565,0.275,0.0567684715721984)); +#30015=CARTESIAN_POINT('',(-0.249064914992237,0.275,0.0999425606583782)); +#30016=CARTESIAN_POINT('Origin',(-0.0949999999999566,0.275,0.056768471572198)); +#30017=CARTESIAN_POINT('Origin',(-0.87375,0.275,0.275)); +#30018=CARTESIAN_POINT('Origin',(-0.525,-0.275,0.55)); +#30019=CARTESIAN_POINT('',(0.249064914929911,-0.175057439130426,0.55)); +#30020=CARTESIAN_POINT('Origin',(0.0949999999200623,-0.218231528165135, +0.55)); +#30021=CARTESIAN_POINT('',(0.24906491490715,0.175057439049205,0.55)); +#30022=CARTESIAN_POINT('Origin',(0.87375,0.,0.55)); +#30023=CARTESIAN_POINT('',(0.253304983432738,0.194999999694072,0.550000000000003)); +#30024=CARTESIAN_POINT('Origin',(0.0949999998916883,0.218231528063882,0.55)); +#30025=CARTESIAN_POINT('',(-0.253304936965528,0.194999998653299,0.550000000000092)); +#30026=CARTESIAN_POINT('',(-0.253304441027124,0.195,0.55)); +#30027=CARTESIAN_POINT('',(-0.249064914686015,0.175057438262002,0.55)); +#30028=CARTESIAN_POINT('Origin',(-0.0949999997561709,0.218231527584109, +0.55)); +#30029=CARTESIAN_POINT('',(-0.249064914909655,-0.175057439048503,0.55)); +#30030=CARTESIAN_POINT('Origin',(-0.87375,0.,0.55)); +#30031=CARTESIAN_POINT('Origin',(-0.094999999756171,-0.218231527561008, +0.55)); +#30032=CARTESIAN_POINT('Origin',(-0.525,-0.275,0.55)); +#30033=CARTESIAN_POINT('',(-0.445,0.195,0.55)); +#30034=CARTESIAN_POINT('',(-0.445,0.195,0.55)); +#30035=CARTESIAN_POINT('',(-0.445,-0.195,0.55)); +#30036=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#30037=CARTESIAN_POINT('Origin',(-0.445,-0.195,0.47)); +#30038=CARTESIAN_POINT('Origin',(-0.525,-0.275,2.80710621619398E-17)); +#30039=CARTESIAN_POINT('',(-0.525,0.195,0.47)); +#30040=CARTESIAN_POINT('',(-0.525,-0.195,0.47)); +#30041=CARTESIAN_POINT('',(-0.525,0.195,0.0800000000000001)); +#30042=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#30043=CARTESIAN_POINT('Origin',(-0.445,0.195,0.08)); +#30044=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#30045=CARTESIAN_POINT('',(-0.445,0.275,0.47)); +#30046=CARTESIAN_POINT('',(-0.445,0.275,0.08)); +#30047=CARTESIAN_POINT('',(-0.445,0.275,0.47)); +#30048=CARTESIAN_POINT('Origin',(0.,0.275,0.)); +#30049=CARTESIAN_POINT('',(0.445,0.275,0.47)); +#30050=CARTESIAN_POINT('',(0.253305524885348,0.275,0.47)); +#30051=CARTESIAN_POINT('',(0.445,0.275,0.47)); +#30052=CARTESIAN_POINT('Origin',(0.445,0.195,0.08)); +#30053=CARTESIAN_POINT('Origin',(0.445,0.195,0.08)); +#30054=CARTESIAN_POINT('Origin',(0.525,-0.275,0.55)); +#30055=CARTESIAN_POINT('',(0.525,0.195,0.47)); +#30056=CARTESIAN_POINT('',(0.525,-0.195,0.47)); +#30057=CARTESIAN_POINT('',(0.525,0.195,0.47)); +#30058=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#30059=CARTESIAN_POINT('Origin',(0.445,-0.195,0.47)); +#30060=CARTESIAN_POINT('Origin',(-0.525,-0.275,0.55)); +#30061=CARTESIAN_POINT('',(0.445,0.195,0.55)); +#30062=CARTESIAN_POINT('',(0.445,-0.195,0.55)); +#30063=CARTESIAN_POINT('',(0.253305525958337,0.195,0.55)); +#30064=CARTESIAN_POINT('Origin',(-0.765,0.195,0.47)); +#30065=CARTESIAN_POINT('Origin',(-0.241372191392692,0.194998398550086,0.469998772166105)); +#30066=CARTESIAN_POINT('Origin',(0.241372300713013,0.194998815568424,0.469998447546207)); +#30067=CARTESIAN_POINT('Origin',(-0.765,0.195,0.47)); +#30068=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#30069=CARTESIAN_POINT('Origin',(-0.445,-0.515,0.47)); +#30070=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#30071=CARTESIAN_POINT('Origin',(-0.445,0.195,-0.32)); +#30072=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#30073=CARTESIAN_POINT('Origin',(-0.765,0.195,0.47)); +#30074=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#30075=CARTESIAN_POINT('Origin',(0.445,0.195,0.87)); +#30076=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#30077=CARTESIAN_POINT('Origin',(0.445,-0.515,0.47)); +#30078=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#30079=CARTESIAN_POINT('Origin',(-0.445,0.195,0.47)); +#30080=CARTESIAN_POINT('Origin',(0.445,0.195,0.47)); +#30081=CARTESIAN_POINT('',(0.,0.,0.)); +#30082=CARTESIAN_POINT('Origin',(1.,0.525,0.625)); +#30083=CARTESIAN_POINT('',(0.962436061028902,0.0956422128626171,0.537626326124315)); +#30084=CARTESIAN_POINT('',(0.962436061028902,0.0956422128626171,-0.537626326124315)); +#30085=CARTESIAN_POINT('',(0.962436061028902,0.0956422128626171,0.625)); +#30086=CARTESIAN_POINT('',(1.,0.525,-0.575190265095413)); +#30087=CARTESIAN_POINT('',(0.990951936736097,0.421580163654892,-0.56614220183151)); +#30088=CARTESIAN_POINT('',(1.,0.525,0.575190265095413)); +#30089=CARTESIAN_POINT('',(1.,0.525,0.625)); +#30090=CARTESIAN_POINT('',(1.00037550848868,0.529292081665748,0.575565773584093)); +#30091=CARTESIAN_POINT('Origin',(-1.,0.525,0.625)); +#30092=CARTESIAN_POINT('',(-0.5,0.465,0.619750680188445)); +#30093=CARTESIAN_POINT('',(-0.5,0.525,0.625)); +#30094=CARTESIAN_POINT('',(-0.5,0.525,0.625)); +#30095=CARTESIAN_POINT('',(-0.8,0.465,0.619750680188445)); +#30096=CARTESIAN_POINT('',(-0.5,0.465,0.619750680188445)); +#30097=CARTESIAN_POINT('',(-0.8,0.525,0.625)); +#30098=CARTESIAN_POINT('',(-0.8,0.525,0.625)); +#30099=CARTESIAN_POINT('',(-0.950190265095412,0.525,0.625)); +#30100=CARTESIAN_POINT('',(-1.,0.525,0.625)); +#30101=CARTESIAN_POINT('',(-0.912626326124315,0.0956422128626171,0.587436061028902)); +#30102=CARTESIAN_POINT('',(-0.950565773584093,0.529292081665748,0.625375508488681)); +#30103=CARTESIAN_POINT('',(0.912626326124315,0.0956422128626171,0.587436061028902)); +#30104=CARTESIAN_POINT('',(-1.,0.0956422128626171,0.587436061028902)); +#30105=CARTESIAN_POINT('',(0.950190265095413,0.525,0.625)); +#30106=CARTESIAN_POINT('',(0.93548805877996,0.356953012848377,0.610297793684546)); +#30107=CARTESIAN_POINT('',(0.8,0.525,0.625)); +#30108=CARTESIAN_POINT('',(-1.,0.525,0.625)); +#30109=CARTESIAN_POINT('',(0.8,0.465,0.619750680188445)); +#30110=CARTESIAN_POINT('',(0.8,0.525,0.625)); +#30111=CARTESIAN_POINT('',(0.5,0.465,0.619750680188445)); +#30112=CARTESIAN_POINT('',(0.8,0.465,0.619750680188445)); +#30113=CARTESIAN_POINT('',(0.5,0.525,0.625)); +#30114=CARTESIAN_POINT('',(0.5,0.525,0.625)); +#30115=CARTESIAN_POINT('',(-1.,0.525,0.625)); +#30116=CARTESIAN_POINT('Origin',(-1.,0.525,0.625)); +#30117=CARTESIAN_POINT('',(-0.962436061028902,0.0956422128626171,-0.537626326124315)); +#30118=CARTESIAN_POINT('',(-0.962436061028902,0.0956422128626171,0.537626326124315)); +#30119=CARTESIAN_POINT('',(-0.962436061028902,0.0956422128626171,0.625)); +#30120=CARTESIAN_POINT('',(-1.,0.525,0.575190265095413)); +#30121=CARTESIAN_POINT('',(-1.00037550848868,0.529292081665748,0.575565773584093)); +#30122=CARTESIAN_POINT('',(-1.,0.525,-0.575190265095413)); +#30123=CARTESIAN_POINT('',(-1.,0.525,0.625)); +#30124=CARTESIAN_POINT('',(-0.990951936736096,0.421580163654892,-0.56614220183151)); +#30125=CARTESIAN_POINT('Origin',(-1.,0.525,-0.625)); +#30126=CARTESIAN_POINT('',(-0.15,0.464999999999999,-0.619750680188445)); +#30127=CARTESIAN_POINT('',(-0.15,0.525,-0.625)); +#30128=CARTESIAN_POINT('',(-0.15,0.525,-0.625)); +#30129=CARTESIAN_POINT('',(0.15,0.465,-0.619750680188445)); +#30130=CARTESIAN_POINT('',(-0.15,0.465,-0.619750680188445)); +#30131=CARTESIAN_POINT('',(0.15,0.525,-0.625)); +#30132=CARTESIAN_POINT('',(0.15,0.525000000000001,-0.625)); +#30133=CARTESIAN_POINT('',(0.950190265095413,0.525,-0.625)); +#30134=CARTESIAN_POINT('',(-1.,0.525,-0.625)); +#30135=CARTESIAN_POINT('',(0.912626326124315,0.0956422128626171,-0.587436061028902)); +#30136=CARTESIAN_POINT('',(0.935488058779959,0.356953012848377,-0.610297793684547)); +#30137=CARTESIAN_POINT('',(-0.912626326124315,0.0956422128626171,-0.587436061028902)); +#30138=CARTESIAN_POINT('',(-1.,0.0956422128626171,-0.587436061028902)); +#30139=CARTESIAN_POINT('',(-0.950190265095412,0.525,-0.625)); +#30140=CARTESIAN_POINT('',(-0.950565773584093,0.529292081665748,-0.625375508488681)); +#30141=CARTESIAN_POINT('',(-1.,0.525,-0.625)); +#30142=CARTESIAN_POINT('Origin',(0.,0.0500000000000001,0.)); +#30143=CARTESIAN_POINT('',(-0.912626326124315,0.0500000000000001,0.537626326124315)); +#30144=CARTESIAN_POINT('',(-0.912626326124315,0.0500000000000001,-0.537626326124315)); +#30145=CARTESIAN_POINT('',(-0.912626326124315,0.0500000000000001,0.)); +#30146=CARTESIAN_POINT('',(0.912626326124315,0.0500000000000001,-0.537626326124315)); +#30147=CARTESIAN_POINT('',(0.,0.0500000000000001,-0.537626326124315)); +#30148=CARTESIAN_POINT('',(0.912626326124315,0.0500000000000001,0.537626326124315)); +#30149=CARTESIAN_POINT('',(0.912626326124315,0.0500000000000001,0.)); +#30150=CARTESIAN_POINT('',(0.,0.0500000000000001,0.537626326124315)); +#30151=CARTESIAN_POINT('Origin',(1.,0.525,0.625)); +#30152=CARTESIAN_POINT('',(0.962436061028902,0.954357787137383,-0.537626326124315)); +#30153=CARTESIAN_POINT('',(0.990951936736097,0.628419836345109,-0.56614220183151)); +#30154=CARTESIAN_POINT('',(0.962436061028902,0.954357787137383,0.537626326124315)); +#30155=CARTESIAN_POINT('',(0.962436061028902,0.954357787137383,0.625)); +#30156=CARTESIAN_POINT('',(1.00037550848868,0.520707918334252,0.575565773584093)); +#30157=CARTESIAN_POINT('Origin',(-1.,0.525,-0.625)); +#30158=CARTESIAN_POINT('',(-0.15,0.585,-0.619750680188445)); +#30159=CARTESIAN_POINT('',(0.15,0.585,-0.619750680188445)); +#30160=CARTESIAN_POINT('',(-0.15,0.585,-0.619750680188445)); +#30161=CARTESIAN_POINT('',(-0.15,0.525,-0.625)); +#30162=CARTESIAN_POINT('',(-0.912626326124315,0.954357787137383,-0.587436061028902)); +#30163=CARTESIAN_POINT('',(-0.950565773584093,0.520707918334252,-0.625375508488681)); +#30164=CARTESIAN_POINT('',(0.912626326124315,0.954357787137383,-0.587436061028902)); +#30165=CARTESIAN_POINT('',(-1.,0.954357787137383,-0.587436061028902)); +#30166=CARTESIAN_POINT('',(0.93548805877996,0.693046987151623,-0.610297793684547)); +#30167=CARTESIAN_POINT('',(0.15,0.525000000000001,-0.625)); +#30168=CARTESIAN_POINT('Origin',(-1.,0.525,0.625)); +#30169=CARTESIAN_POINT('',(-0.962436061028902,0.954357787137383,0.537626326124315)); +#30170=CARTESIAN_POINT('',(-0.962436061028902,0.954357787137383,-0.537626326124315)); +#30171=CARTESIAN_POINT('',(-0.962436061028902,0.954357787137383,0.625)); +#30172=CARTESIAN_POINT('',(-0.990951936736096,0.628419836345109,-0.56614220183151)); +#30173=CARTESIAN_POINT('',(-1.00037550848868,0.520707918334252,0.575565773584093)); +#30174=CARTESIAN_POINT('Origin',(-1.,0.525,0.625)); +#30175=CARTESIAN_POINT('',(-0.5,0.585,0.619750680188445)); +#30176=CARTESIAN_POINT('',(-0.8,0.585,0.619750680188445)); +#30177=CARTESIAN_POINT('',(-0.5,0.585,0.619750680188445)); +#30178=CARTESIAN_POINT('',(-0.5,0.525,0.625)); +#30179=CARTESIAN_POINT('',(0.5,0.585,0.619750680188445)); +#30180=CARTESIAN_POINT('',(0.5,0.525,0.625)); +#30181=CARTESIAN_POINT('',(0.8,0.585,0.619750680188445)); +#30182=CARTESIAN_POINT('',(0.8,0.585,0.619750680188445)); +#30183=CARTESIAN_POINT('',(0.8,0.525,0.625)); +#30184=CARTESIAN_POINT('',(0.912626326124315,0.954357787137383,0.587436061028902)); +#30185=CARTESIAN_POINT('',(0.935488058779959,0.693046987151623,0.610297793684546)); +#30186=CARTESIAN_POINT('',(-0.912626326124315,0.954357787137383,0.587436061028902)); +#30187=CARTESIAN_POINT('',(-1.,0.954357787137383,0.587436061028902)); +#30188=CARTESIAN_POINT('',(-0.950565773584093,0.520707918334252,0.625375508488681)); +#30189=CARTESIAN_POINT('',(-0.8,0.525,0.625)); +#30190=CARTESIAN_POINT('Origin',(0.,1.,0.)); +#30191=CARTESIAN_POINT('',(-0.912626326124315,1.,-0.537626326124315)); +#30192=CARTESIAN_POINT('',(-0.912626326124315,1.,0.537626326124315)); +#30193=CARTESIAN_POINT('',(-0.912626326124315,1.,0.)); +#30194=CARTESIAN_POINT('',(0.912626326124315,1.,0.537626326124315)); +#30195=CARTESIAN_POINT('',(0.,1.,0.537626326124315)); +#30196=CARTESIAN_POINT('',(0.912626326124315,1.,-0.537626326124315)); +#30197=CARTESIAN_POINT('',(0.912626326124315,1.,0.)); +#30198=CARTESIAN_POINT('',(0.,1.,-0.537626326124315)); +#30199=CARTESIAN_POINT('Origin',(0.8,0.119707686031179,1.0583707768493)); +#30200=CARTESIAN_POINT('',(0.5,0.119707686031179,1.0583707768493)); +#30201=CARTESIAN_POINT('',(0.5,0.,1.05)); +#30202=CARTESIAN_POINT('',(0.5,0.119707686031179,1.0583707768493)); +#30203=CARTESIAN_POINT('',(0.8,0.,1.05)); +#30204=CARTESIAN_POINT('',(0.8,0.,1.05)); +#30205=CARTESIAN_POINT('',(0.8,0.119707686031179,1.0583707768493)); +#30206=CARTESIAN_POINT('',(0.8,0.119707686031179,1.0583707768493)); +#30207=CARTESIAN_POINT('',(0.8,0.119707686031179,1.0583707768493)); +#30208=CARTESIAN_POINT('Origin',(0.8,0.,1.05)); +#30209=CARTESIAN_POINT('',(0.5,0.0190138013654985,0.778089972400594)); +#30210=CARTESIAN_POINT('',(0.5,0.,1.05)); +#30211=CARTESIAN_POINT('',(0.8,0.0190138013654985,0.778089972400594)); +#30212=CARTESIAN_POINT('',(0.8,0.0190138013654985,0.778089972400594)); +#30213=CARTESIAN_POINT('',(0.8,0.,1.05)); +#30214=CARTESIAN_POINT('Origin',(0.8,0.0230758479413585,0.720000000000001)); +#30215=CARTESIAN_POINT('',(0.5,0.0809348419018119,0.713421099349402)); +#30216=CARTESIAN_POINT('',(0.5,0.404055832304642,0.676680382851011)); +#30217=CARTESIAN_POINT('',(0.5,0.0230758479413585,0.720000000000001)); +#30218=CARTESIAN_POINT('',(0.8,0.404055832304642,0.676680382851011)); +#30219=CARTESIAN_POINT('',(0.8,0.404055832304642,0.676680382851011)); +#30220=CARTESIAN_POINT('',(0.8,0.0809348419018119,0.713421099349402)); +#30221=CARTESIAN_POINT('',(0.8,0.0230758479413585,0.720000000000001)); +#30222=CARTESIAN_POINT('',(0.8,0.0809348419018119,0.713421099349402)); +#30223=CARTESIAN_POINT('Origin',(0.8,0.585,0.776879238097573)); +#30224=CARTESIAN_POINT('',(0.5,0.585,0.714387579317248)); +#30225=CARTESIAN_POINT('',(0.5,0.585,0.776879238097573)); +#30226=CARTESIAN_POINT('',(0.8,0.585,0.714387579317248)); +#30227=CARTESIAN_POINT('',(0.8,0.585,0.714387579317248)); +#30228=CARTESIAN_POINT('',(0.8,0.585,0.776879238097573)); +#30229=CARTESIAN_POINT('Origin',(0.8,0.135820025556777,0.827953586678885)); +#30230=CARTESIAN_POINT('',(0.5,0.522908442981874,0.783939405530529)); +#30231=CARTESIAN_POINT('',(0.5,0.193679019517231,0.821374686028287)); +#30232=CARTESIAN_POINT('',(0.5,0.135820025556777,0.827953586678885)); +#30233=CARTESIAN_POINT('',(0.8,0.193679019517231,0.821374686028287)); +#30234=CARTESIAN_POINT('',(0.8,0.193679019517231,0.821374686028287)); +#30235=CARTESIAN_POINT('',(0.8,0.522908442981874,0.783939405530529)); +#30236=CARTESIAN_POINT('',(0.8,0.135820025556777,0.827953586678885)); +#30237=CARTESIAN_POINT('',(0.8,0.522908442981874,0.783939405530529)); +#30238=CARTESIAN_POINT('Origin',(0.8,0.119707686031179,1.0583707768493)); +#30239=CARTESIAN_POINT('',(0.8,0.131757978980917,0.886043559079479)); +#30240=CARTESIAN_POINT('',(0.8,0.119707686031179,1.0583707768493)); +#30241=CARTESIAN_POINT('',(0.5,0.131757978980917,0.886043559079479)); +#30242=CARTESIAN_POINT('',(0.5,0.131757978980917,0.886043559079479)); +#30243=CARTESIAN_POINT('',(0.5,0.119707686031179,1.0583707768493)); +#30244=CARTESIAN_POINT('Origin',(0.8,0.,0.)); +#30245=CARTESIAN_POINT('Origin',(0.8,0.0888432848836862,0.782972925562683)); +#30246=CARTESIAN_POINT('Origin',(0.8,0.396147389322768,0.60712855663773)); +#30247=CARTESIAN_POINT('Origin',(0.8,0.515,0.714387579317248)); +#30248=CARTESIAN_POINT('Origin',(0.8,0.201587462499105,0.890926512241568)); +#30249=CARTESIAN_POINT('Origin',(0.5,0.,0.)); +#30250=CARTESIAN_POINT('Origin',(0.5,0.0888432848836862,0.782972925562683)); +#30251=CARTESIAN_POINT('Origin',(0.5,0.201587462499105,0.890926512241568)); +#30252=CARTESIAN_POINT('Origin',(0.5,0.515,0.714387579317248)); +#30253=CARTESIAN_POINT('Origin',(0.5,0.396147389322768,0.60712855663773)); +#30254=CARTESIAN_POINT('Origin',(0.,0.95,0.537626326124315)); +#30255=CARTESIAN_POINT('Origin',(0.912626326124315,0.95,0.537626326124315)); +#30256=CARTESIAN_POINT('Origin',(-0.912626326124315,0.95,0.537626326124315)); +#30257=CARTESIAN_POINT('Origin',(0.912626326124315,0.95,0.537626326124315)); +#30258=CARTESIAN_POINT('Origin',(0.912626326124315,0.95,0.537626326124315)); +#30259=CARTESIAN_POINT('Origin',(0.912626326124315,0.95,0.537626326124315)); +#30260=CARTESIAN_POINT('Origin',(-0.912626326124315,0.95,0.537626326124315)); +#30261=CARTESIAN_POINT('Origin',(-0.912626326124315,0.95,0.537626326124315)); +#30262=CARTESIAN_POINT('Origin',(-0.912626326124315,0.95,0.537626326124315)); +#30263=CARTESIAN_POINT('Origin',(0.950565773584093,0.516350131196869,0.575565773584093)); +#30264=CARTESIAN_POINT('Origin',(0.949809008122833,0.524999999999999,0.574809008122833)); +#30265=CARTESIAN_POINT('Origin',(0.912626326124315,0.95,0.)); +#30266=CARTESIAN_POINT('Origin',(0.912626326124315,0.95,-0.537626326124315)); +#30267=CARTESIAN_POINT('Origin',(0.,0.95,-0.537626326124315)); +#30268=CARTESIAN_POINT('Origin',(-0.912626326124315,0.95,-0.537626326124315)); +#30269=CARTESIAN_POINT('Origin',(0.912626326124315,0.95,-0.537626326124315)); +#30270=CARTESIAN_POINT('Origin',(-0.912626326124315,0.95,0.)); +#30271=CARTESIAN_POINT('Origin',(-0.912626326124315,0.95,-0.537626326124315)); +#30272=CARTESIAN_POINT('Origin',(-0.950565773584093,0.516350131196869,0.575565773584093)); +#30273=CARTESIAN_POINT('Origin',(-0.949809008122833,0.525,0.574809008122833)); +#30274=CARTESIAN_POINT('Origin',(0.93548805877996,0.36131079998576,0.560488058779959)); +#30275=CARTESIAN_POINT('Origin',(0.912626326124315,0.1,0.537626326124315)); +#30276=CARTESIAN_POINT('Origin',(0.912626326124315,0.95,-0.537626326124315)); +#30277=CARTESIAN_POINT('Origin',(0.912626326124315,0.95,-0.537626326124315)); +#30278=CARTESIAN_POINT('Origin',(-0.912626326124315,0.95,-0.537626326124315)); +#30279=CARTESIAN_POINT('Origin',(-0.912626326124315,0.95,-0.537626326124315)); +#30280=CARTESIAN_POINT('Origin',(-0.950565773584093,0.533649868803131,0.575565773584093)); +#30281=CARTESIAN_POINT('Origin',(-0.912626326124315,0.1,0.537626326124315)); +#30282=CARTESIAN_POINT('Origin',(-1.,0.1,0.537626326124315)); +#30283=CARTESIAN_POINT('Origin',(0.912626326124315,0.1,0.537626326124315)); +#30284=CARTESIAN_POINT('Origin',(-0.912626326124315,0.1,0.537626326124315)); +#30285=CARTESIAN_POINT('Origin',(0.912626326124315,0.1,0.537626326124315)); +#30286=CARTESIAN_POINT('Origin',(0.912626326124315,0.1,0.537626326124315)); +#30287=CARTESIAN_POINT('Origin',(0.93548805877996,0.68868920001424,-0.560488058779959)); +#30288=CARTESIAN_POINT('Origin',(0.949809008122833,0.525,-0.574809008122833)); +#30289=CARTESIAN_POINT('Origin',(-0.941142201831509,0.624062049207726,-0.56614220183151)); +#30290=CARTESIAN_POINT('Origin',(-0.949809008122832,0.525,-0.574809008122833)); +#30291=CARTESIAN_POINT('Origin',(-0.912626326124315,0.1,0.537626326124315)); +#30292=CARTESIAN_POINT('Origin',(-0.912626326124315,0.1,0.537626326124315)); +#30293=CARTESIAN_POINT('Origin',(0.912626326124315,0.1,0.625)); +#30294=CARTESIAN_POINT('Origin',(0.912626326124315,0.1,-0.537626326124315)); +#30295=CARTESIAN_POINT('Origin',(0.94114220183151,0.425937950792274,-0.56614220183151)); +#30296=CARTESIAN_POINT('Origin',(0.912626326124315,0.1,-0.537626326124315)); +#30297=CARTESIAN_POINT('Origin',(-0.950565773584093,0.533649868803131,-0.575565773584093)); +#30298=CARTESIAN_POINT('Origin',(-0.912626326124315,0.1,-0.537626326124315)); +#30299=CARTESIAN_POINT('Origin',(-0.912626326124315,0.1,0.625)); +#30300=CARTESIAN_POINT('Origin',(-0.912626326124315,0.1,-0.537626326124315)); +#30301=CARTESIAN_POINT('Origin',(0.912626326124315,0.1,-0.537626326124315)); +#30302=CARTESIAN_POINT('Origin',(0.912626326124315,0.1,-0.537626326124315)); +#30303=CARTESIAN_POINT('Origin',(-0.912626326124315,0.1,-0.537626326124315)); +#30304=CARTESIAN_POINT('Origin',(-0.912626326124315,0.1,-0.537626326124315)); +#30305=CARTESIAN_POINT('Origin',(-1.,0.1,-0.537626326124315)); +#30306=CARTESIAN_POINT('Origin',(0.8,0.396147389322768,0.60712855663773)); +#30307=CARTESIAN_POINT('Origin',(0.8,0.0888432848836862,0.782972925562683)); +#30308=CARTESIAN_POINT('Origin',(0.8,0.201587462499105,0.890926512241568)); +#30309=CARTESIAN_POINT('Origin',(0.8,0.515,0.714387579317248)); +#30310=CARTESIAN_POINT('Origin',(-0.5,0.119707686031179,1.0583707768493)); +#30311=CARTESIAN_POINT('',(-0.8,0.119707686031179,1.0583707768493)); +#30312=CARTESIAN_POINT('',(-0.8,0.,1.05)); +#30313=CARTESIAN_POINT('',(-0.8,0.119707686031179,1.0583707768493)); +#30314=CARTESIAN_POINT('',(-0.5,0.,1.05)); +#30315=CARTESIAN_POINT('',(-0.5,0.,1.05)); +#30316=CARTESIAN_POINT('',(-0.5,0.119707686031179,1.0583707768493)); +#30317=CARTESIAN_POINT('',(-0.5,0.119707686031179,1.0583707768493)); +#30318=CARTESIAN_POINT('',(-0.5,0.119707686031179,1.0583707768493)); +#30319=CARTESIAN_POINT('Origin',(-0.5,0.,1.05)); +#30320=CARTESIAN_POINT('',(-0.8,0.0190138013654985,0.778089972400594)); +#30321=CARTESIAN_POINT('',(-0.8,0.,1.05)); +#30322=CARTESIAN_POINT('',(-0.5,0.0190138013654985,0.778089972400594)); +#30323=CARTESIAN_POINT('',(-0.5,0.0190138013654985,0.778089972400594)); +#30324=CARTESIAN_POINT('',(-0.5,0.,1.05)); +#30325=CARTESIAN_POINT('Origin',(-0.5,0.0230758479413585,0.720000000000001)); +#30326=CARTESIAN_POINT('',(-0.8,0.0809348419018119,0.713421099349402)); +#30327=CARTESIAN_POINT('',(-0.8,0.404055832304642,0.676680382851011)); +#30328=CARTESIAN_POINT('',(-0.8,0.0230758479413585,0.720000000000001)); +#30329=CARTESIAN_POINT('',(-0.5,0.404055832304642,0.676680382851011)); +#30330=CARTESIAN_POINT('',(-0.5,0.404055832304642,0.676680382851011)); +#30331=CARTESIAN_POINT('',(-0.5,0.0809348419018119,0.713421099349402)); +#30332=CARTESIAN_POINT('',(-0.5,0.0230758479413585,0.720000000000001)); +#30333=CARTESIAN_POINT('',(-0.5,0.0809348419018119,0.713421099349402)); +#30334=CARTESIAN_POINT('Origin',(-0.5,0.585,0.776879238097573)); +#30335=CARTESIAN_POINT('',(-0.8,0.585,0.714387579317248)); +#30336=CARTESIAN_POINT('',(-0.8,0.585,0.776879238097573)); +#30337=CARTESIAN_POINT('',(-0.5,0.585,0.714387579317248)); +#30338=CARTESIAN_POINT('',(-0.5,0.585,0.714387579317248)); +#30339=CARTESIAN_POINT('',(-0.5,0.585,0.776879238097573)); +#30340=CARTESIAN_POINT('Origin',(-0.5,0.135820025556777,0.827953586678885)); +#30341=CARTESIAN_POINT('',(-0.8,0.522908442981874,0.783939405530529)); +#30342=CARTESIAN_POINT('',(-0.8,0.193679019517231,0.821374686028287)); +#30343=CARTESIAN_POINT('',(-0.8,0.135820025556777,0.827953586678885)); +#30344=CARTESIAN_POINT('',(-0.5,0.193679019517231,0.821374686028287)); +#30345=CARTESIAN_POINT('',(-0.5,0.193679019517231,0.821374686028287)); +#30346=CARTESIAN_POINT('',(-0.5,0.522908442981874,0.783939405530529)); +#30347=CARTESIAN_POINT('',(-0.5,0.135820025556777,0.827953586678885)); +#30348=CARTESIAN_POINT('',(-0.5,0.522908442981874,0.783939405530529)); +#30349=CARTESIAN_POINT('Origin',(-0.5,0.119707686031179,1.0583707768493)); +#30350=CARTESIAN_POINT('',(-0.5,0.131757978980917,0.886043559079479)); +#30351=CARTESIAN_POINT('',(-0.5,0.119707686031179,1.0583707768493)); +#30352=CARTESIAN_POINT('',(-0.8,0.131757978980917,0.886043559079479)); +#30353=CARTESIAN_POINT('',(-0.8,0.131757978980917,0.886043559079479)); +#30354=CARTESIAN_POINT('',(-0.8,0.119707686031179,1.0583707768493)); +#30355=CARTESIAN_POINT('Origin',(-0.5,0.,0.)); +#30356=CARTESIAN_POINT('Origin',(-0.5,0.0888432848836862,0.782972925562683)); +#30357=CARTESIAN_POINT('Origin',(-0.5,0.396147389322768,0.60712855663773)); +#30358=CARTESIAN_POINT('Origin',(-0.5,0.515,0.714387579317248)); +#30359=CARTESIAN_POINT('Origin',(-0.5,0.201587462499105,0.890926512241568)); +#30360=CARTESIAN_POINT('Origin',(-0.8,0.,0.)); +#30361=CARTESIAN_POINT('Origin',(-0.8,0.0888432848836862,0.782972925562683)); +#30362=CARTESIAN_POINT('Origin',(-0.8,0.201587462499105,0.890926512241568)); +#30363=CARTESIAN_POINT('Origin',(-0.8,0.515,0.714387579317248)); +#30364=CARTESIAN_POINT('Origin',(-0.8,0.396147389322768,0.60712855663773)); +#30365=CARTESIAN_POINT('Origin',(-0.5,0.396147389322768,0.60712855663773)); +#30366=CARTESIAN_POINT('Origin',(-0.5,0.0888432848836862,0.782972925562683)); +#30367=CARTESIAN_POINT('Origin',(-0.5,0.201587462499105,0.890926512241568)); +#30368=CARTESIAN_POINT('Origin',(-0.5,0.515,0.714387579317248)); +#30369=CARTESIAN_POINT('Origin',(-0.15,0.119707686031179,-1.0583707768493)); +#30370=CARTESIAN_POINT('',(0.15,0.119707686031179,-1.0583707768493)); +#30371=CARTESIAN_POINT('',(0.15,-1.19832871695766E-16,-1.05)); +#30372=CARTESIAN_POINT('',(0.15,0.119707686031179,-1.0583707768493)); +#30373=CARTESIAN_POINT('',(-0.15,-2.56784725062356E-16,-1.05)); +#30374=CARTESIAN_POINT('',(-0.15,-2.56784725062356E-16,-1.05)); +#30375=CARTESIAN_POINT('',(-0.15,0.119707686031179,-1.0583707768493)); +#30376=CARTESIAN_POINT('',(-0.15,0.119707686031179,-1.0583707768493)); +#30377=CARTESIAN_POINT('',(-0.15,0.119707686031179,-1.0583707768493)); +#30378=CARTESIAN_POINT('Origin',(-0.15,-2.56784725062356E-16,-1.05)); +#30379=CARTESIAN_POINT('',(0.15,0.0190138013654984,-0.778089972400594)); +#30380=CARTESIAN_POINT('',(0.15,-1.19832871695766E-16,-1.05)); +#30381=CARTESIAN_POINT('',(-0.15,0.0190138013654982,-0.778089972400594)); +#30382=CARTESIAN_POINT('',(-0.15,0.0190138013654982,-0.778089972400594)); +#30383=CARTESIAN_POINT('',(-0.15,-2.56784725062356E-16,-1.05)); +#30384=CARTESIAN_POINT('Origin',(-0.15,0.0230758479413583,-0.720000000000001)); +#30385=CARTESIAN_POINT('',(0.15,0.0809348419018118,-0.713421099349402)); +#30386=CARTESIAN_POINT('',(0.15,0.404055832304642,-0.676680382851011)); +#30387=CARTESIAN_POINT('',(0.15,0.0230758479413584,-0.720000000000001)); +#30388=CARTESIAN_POINT('',(-0.15,0.404055832304642,-0.676680382851011)); +#30389=CARTESIAN_POINT('',(-0.15,0.404055832304642,-0.676680382851011)); +#30390=CARTESIAN_POINT('',(-0.15,0.0809348419018117,-0.713421099349402)); +#30391=CARTESIAN_POINT('',(-0.15,0.0230758479413583,-0.720000000000001)); +#30392=CARTESIAN_POINT('',(-0.15,0.0809348419018117,-0.713421099349402)); +#30393=CARTESIAN_POINT('Origin',(-0.15,0.585,-0.776879238097573)); +#30394=CARTESIAN_POINT('',(0.15,0.585,-0.714387579317248)); +#30395=CARTESIAN_POINT('',(0.15,0.585,-0.776879238097573)); +#30396=CARTESIAN_POINT('',(-0.15,0.585,-0.714387579317248)); +#30397=CARTESIAN_POINT('',(-0.15,0.585,-0.714387579317248)); +#30398=CARTESIAN_POINT('',(-0.15,0.585,-0.776879238097573)); +#30399=CARTESIAN_POINT('Origin',(-0.15,0.135820025556777,-0.827953586678885)); +#30400=CARTESIAN_POINT('',(0.15,0.522908442981874,-0.783939405530529)); +#30401=CARTESIAN_POINT('',(0.15,0.193679019517231,-0.821374686028287)); +#30402=CARTESIAN_POINT('',(0.15,0.135820025556777,-0.827953586678885)); +#30403=CARTESIAN_POINT('',(-0.15,0.193679019517231,-0.821374686028287)); +#30404=CARTESIAN_POINT('',(-0.15,0.193679019517231,-0.821374686028287)); +#30405=CARTESIAN_POINT('',(-0.15,0.522908442981874,-0.783939405530529)); +#30406=CARTESIAN_POINT('',(-0.15,0.135820025556777,-0.827953586678885)); +#30407=CARTESIAN_POINT('',(-0.15,0.522908442981874,-0.783939405530529)); +#30408=CARTESIAN_POINT('Origin',(-0.15,0.119707686031179,-1.0583707768493)); +#30409=CARTESIAN_POINT('',(-0.15,0.131757978980917,-0.886043559079479)); +#30410=CARTESIAN_POINT('',(-0.15,0.119707686031179,-1.0583707768493)); +#30411=CARTESIAN_POINT('',(0.15,0.131757978980917,-0.886043559079479)); +#30412=CARTESIAN_POINT('',(0.15,0.131757978980917,-0.886043559079479)); +#30413=CARTESIAN_POINT('',(0.15,0.119707686031179,-1.0583707768493)); +#30414=CARTESIAN_POINT('Origin',(-0.15,-2.56784725062356E-16,0.)); +#30415=CARTESIAN_POINT('Origin',(-0.15,0.0888432848836859,-0.782972925562683)); +#30416=CARTESIAN_POINT('Origin',(-0.15,0.396147389322768,-0.60712855663773)); +#30417=CARTESIAN_POINT('Origin',(-0.15,0.515,-0.714387579317248)); +#30418=CARTESIAN_POINT('Origin',(-0.15,0.201587462499105,-0.890926512241568)); +#30419=CARTESIAN_POINT('Origin',(0.15,-1.19832871695766E-16,0.)); +#30420=CARTESIAN_POINT('Origin',(0.15,0.0888432848836861,-0.782972925562683)); +#30421=CARTESIAN_POINT('Origin',(0.15,0.201587462499105,-0.890926512241568)); +#30422=CARTESIAN_POINT('Origin',(0.15,0.515,-0.714387579317248)); +#30423=CARTESIAN_POINT('Origin',(0.15,0.396147389322768,-0.60712855663773)); +#30424=CARTESIAN_POINT('Origin',(-0.15,0.396147389322768,-0.60712855663773)); +#30425=CARTESIAN_POINT('Origin',(-0.15,0.0888432848836859,-0.782972925562683)); +#30426=CARTESIAN_POINT('Origin',(-0.15,0.201587462499105,-0.890926512241568)); +#30427=CARTESIAN_POINT('Origin',(-0.15,0.515,-0.714387579317248)); +#30428=CARTESIAN_POINT('',(0.,0.,0.)); +#30429=CARTESIAN_POINT('Origin',(0.01,0.25,1.02499998770654)); +#30430=CARTESIAN_POINT('',(0.01,0.49,0.95)); +#30431=CARTESIAN_POINT('',(0.01,0.49,1.09999997541308)); +#30432=CARTESIAN_POINT('',(0.01,0.49,1.02499998770654)); +#30433=CARTESIAN_POINT('',(0.01,0.01,0.95)); +#30434=CARTESIAN_POINT('',(0.01,0.,0.95)); +#30435=CARTESIAN_POINT('',(0.01,0.01,1.09999997541308)); +#30436=CARTESIAN_POINT('',(0.01,0.01,1.02499998770654)); +#30437=CARTESIAN_POINT('',(0.01,0.125,1.09999997541308)); +#30438=CARTESIAN_POINT('Origin',(0.01,0.25,0.075)); +#30439=CARTESIAN_POINT('',(0.01,0.49,0.)); +#30440=CARTESIAN_POINT('',(0.01,0.49,0.15)); +#30441=CARTESIAN_POINT('',(0.01,0.49,0.075)); +#30442=CARTESIAN_POINT('',(0.01,0.01,0.)); +#30443=CARTESIAN_POINT('',(0.01,0.125,0.)); +#30444=CARTESIAN_POINT('',(0.01,0.01,0.15)); +#30445=CARTESIAN_POINT('',(0.01,0.01,0.075)); +#30446=CARTESIAN_POINT('',(0.01,0.500000023748726,0.15)); +#30447=CARTESIAN_POINT('Origin',(0.295,0.49,1.02499998770654)); +#30448=CARTESIAN_POINT('',(0.59,0.49,1.09999997541308)); +#30449=CARTESIAN_POINT('',(0.1475,0.49,1.09999997541308)); +#30450=CARTESIAN_POINT('',(0.59,0.49,0.95)); +#30451=CARTESIAN_POINT('',(0.59,0.49,1.02499998770654)); +#30452=CARTESIAN_POINT('',(0.6,0.49,0.95)); +#30453=CARTESIAN_POINT('Origin',(0.3,0.01,0.075)); +#30454=CARTESIAN_POINT('',(0.59,0.01,0.)); +#30455=CARTESIAN_POINT('',(0.45,0.01,0.)); +#30456=CARTESIAN_POINT('',(0.59,0.01,0.15)); +#30457=CARTESIAN_POINT('',(0.59,0.01,0.075)); +#30458=CARTESIAN_POINT('',(0.,0.01,0.15)); +#30459=CARTESIAN_POINT('Origin',(0.6,0.,0.)); +#30460=CARTESIAN_POINT('',(0.59,0.49,0.)); +#30461=CARTESIAN_POINT('',(0.4475,0.49,0.)); +#30462=CARTESIAN_POINT('',(0.59,0.1275,0.)); +#30463=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#30464=CARTESIAN_POINT('',(0.,0.,0.95)); +#30465=CARTESIAN_POINT('',(0.,0.5,0.95)); +#30466=CARTESIAN_POINT('',(0.,0.,0.95)); +#30467=CARTESIAN_POINT('',(0.,0.5,0.15)); +#30468=CARTESIAN_POINT('',(0.,0.5,0.15)); +#30469=CARTESIAN_POINT('',(0.,0.,0.15)); +#30470=CARTESIAN_POINT('',(0.,0.,0.15)); +#30471=CARTESIAN_POINT('',(0.,0.,0.15)); +#30472=CARTESIAN_POINT('Origin',(0.295,0.49,0.075)); +#30473=CARTESIAN_POINT('',(0.59,0.49,0.15)); +#30474=CARTESIAN_POINT('',(0.6,0.49,0.15)); +#30475=CARTESIAN_POINT('',(0.59,0.49,0.075)); +#30476=CARTESIAN_POINT('Origin',(0.59,0.255,1.02499998770654)); +#30477=CARTESIAN_POINT('',(0.59,0.01,1.09999997541308)); +#30478=CARTESIAN_POINT('',(0.59,0.1275,1.09999997541308)); +#30479=CARTESIAN_POINT('',(0.59,0.01,0.95)); +#30480=CARTESIAN_POINT('',(0.59,0.01,1.02499998770654)); +#30481=CARTESIAN_POINT('',(0.59,0.5,0.95)); +#30482=CARTESIAN_POINT('Origin',(0.3,0.5,0.075)); +#30483=CARTESIAN_POINT('',(0.6,0.5,0.95)); +#30484=CARTESIAN_POINT('',(0.45,0.5,0.95)); +#30485=CARTESIAN_POINT('',(0.6,0.5,0.15)); +#30486=CARTESIAN_POINT('',(0.599999986588955,0.5,0.95)); +#30487=CARTESIAN_POINT('',(0.45,0.5,0.15)); +#30488=CARTESIAN_POINT('',(0.450000021373853,0.5,0.160000007599592)); +#30489=CARTESIAN_POINT('',(0.450000021373853,0.5,0.460000021848828)); +#30490=CARTESIAN_POINT('',(0.450000021373853,0.5,0.117500003799796)); +#30491=CARTESIAN_POINT('',(0.150000007124618,0.5,0.460000021848828)); +#30492=CARTESIAN_POINT('',(0.375000010686927,0.5,0.460000021848828)); +#30493=CARTESIAN_POINT('',(0.150000007124618,0.5,0.160000007599592)); +#30494=CARTESIAN_POINT('',(0.150000007124618,0.5,0.267500010924414)); +#30495=CARTESIAN_POINT('',(0.225000003562309,0.5,0.160000007599592)); +#30496=CARTESIAN_POINT('Origin',(0.59,0.255,0.075)); +#30497=CARTESIAN_POINT('',(0.59,0.5,0.15)); +#30498=CARTESIAN_POINT('Origin',(0.450000021373853,0.5,0.160000007599592)); +#30499=CARTESIAN_POINT('',(0.450000021373853,0.49,0.160000007599592)); +#30500=CARTESIAN_POINT('',(0.450000021373853,0.5,0.160000007599592)); +#30501=CARTESIAN_POINT('',(0.450000021373853,0.49,0.460000021848828)); +#30502=CARTESIAN_POINT('',(0.450000021373853,0.49,0.160000007599592)); +#30503=CARTESIAN_POINT('',(0.450000021373853,0.5,0.460000021848828)); +#30504=CARTESIAN_POINT('Origin',(0.150000007124618,0.5,0.160000007599592)); +#30505=CARTESIAN_POINT('',(0.150000007124618,0.49,0.160000007599592)); +#30506=CARTESIAN_POINT('',(0.150000007124618,0.5,0.160000007599592)); +#30507=CARTESIAN_POINT('',(0.150000007124618,0.49,0.160000007599592)); +#30508=CARTESIAN_POINT('Origin',(0.150000007124618,0.5,0.460000021848828)); +#30509=CARTESIAN_POINT('',(0.150000007124618,0.49,0.460000021848828)); +#30510=CARTESIAN_POINT('',(0.150000007124618,0.5,0.460000021848828)); +#30511=CARTESIAN_POINT('',(0.150000007124618,0.49,0.460000021848828)); +#30512=CARTESIAN_POINT('Origin',(0.450000021373853,0.5,0.460000021848828)); +#30513=CARTESIAN_POINT('',(0.450000021373853,0.49,0.460000021848828)); +#30514=CARTESIAN_POINT('Origin',(0.300000014249235,0.49,0.31000001472421)); +#30515=CARTESIAN_POINT('Origin',(0.299999993294477,0.01,1.02499998770654)); +#30516=CARTESIAN_POINT('',(0.,0.01,0.95)); +#30517=CARTESIAN_POINT('',(0.149999996647239,0.01,1.09999997541308)); +#30518=CARTESIAN_POINT('Origin',(0.599999986588955,0.,0.95)); +#30519=CARTESIAN_POINT('',(0.599999986588955,0.,0.95)); +#30520=CARTESIAN_POINT('',(0.449999993294477,0.,0.95)); +#30521=CARTESIAN_POINT('',(0.6,0.,0.95)); +#30522=CARTESIAN_POINT('Origin',(0.,0.,0.15)); +#30523=CARTESIAN_POINT('',(0.599999986588955,0.,0.15)); +#30524=CARTESIAN_POINT('',(0.6,0.,0.15)); +#30525=CARTESIAN_POINT('',(0.15,0.,0.15)); +#30526=CARTESIAN_POINT('Origin',(0.,0.,1.09999997541308)); +#30527=CARTESIAN_POINT('Origin',(0.6,0.,0.15)); +#30528=CARTESIAN_POINT('',(0.599999986588955,0.,0.95)); +#30529=CARTESIAN_POINT('Origin',(0.3,0.,0.075)); +#30530=CARTESIAN_POINT('',(0.,0.,0.)); +#30531=CARTESIAN_POINT('Origin',(-1.1,0.55,0.65)); +#30532=CARTESIAN_POINT('',(-1.1,0.55,-0.600190265095413)); +#30533=CARTESIAN_POINT('',(-1.1,0.55,0.600190265095413)); +#30534=CARTESIAN_POINT('',(-1.1,0.55,0.65)); +#30535=CARTESIAN_POINT('',(-1.06024884444075,0.0956422128626171,-0.560439109536166)); +#30536=CARTESIAN_POINT('',(-1.09057499386599,0.442271686934457,-0.590765258961406)); +#30537=CARTESIAN_POINT('',(-1.06024884444075,0.0956422128626171,0.560439109536167)); +#30538=CARTESIAN_POINT('',(-1.06024884444075,0.0956422128626171,0.606255668237038)); +#30539=CARTESIAN_POINT('',(-1.10037550848868,0.554292081665748,0.600565773584093)); +#30540=CARTESIAN_POINT('Origin',(1.1,0.55,-0.65)); +#30541=CARTESIAN_POINT('',(-0.525,0.55,-0.65)); +#30542=CARTESIAN_POINT('',(-0.525,0.495,-0.645188123506074)); +#30543=CARTESIAN_POINT('',(-0.525,0.55,-0.65)); +#30544=CARTESIAN_POINT('',(-0.125,0.55,-0.65)); +#30545=CARTESIAN_POINT('',(1.1,0.55,-0.65)); +#30546=CARTESIAN_POINT('',(-0.125,0.494999999999999,-0.645188123506074)); +#30547=CARTESIAN_POINT('',(-0.125,0.55,-0.65)); +#30548=CARTESIAN_POINT('',(0.125,0.495,-0.645188123506074)); +#30549=CARTESIAN_POINT('',(0.125,0.495,-0.645188123506074)); +#30550=CARTESIAN_POINT('',(0.125,0.55,-0.65)); +#30551=CARTESIAN_POINT('',(0.125,0.55,-0.65)); +#30552=CARTESIAN_POINT('',(0.525,0.55,-0.65)); +#30553=CARTESIAN_POINT('',(1.1,0.55,-0.65)); +#30554=CARTESIAN_POINT('',(0.525,0.494999999999999,-0.645188123506074)); +#30555=CARTESIAN_POINT('',(0.525,0.55,-0.65)); +#30556=CARTESIAN_POINT('',(0.775,0.495,-0.645188123506074)); +#30557=CARTESIAN_POINT('',(0.775,0.495,-0.645188123506074)); +#30558=CARTESIAN_POINT('',(0.775,0.55,-0.65)); +#30559=CARTESIAN_POINT('',(0.775,0.55,-0.65)); +#30560=CARTESIAN_POINT('',(1.05019026509541,0.55,-0.65)); +#30561=CARTESIAN_POINT('',(1.1,0.55,-0.65)); +#30562=CARTESIAN_POINT('',(1.01043910953617,0.0956422128626171,-0.610248844440754)); +#30563=CARTESIAN_POINT('',(1.05056577358409,0.554292081665748,-0.650375508488681)); +#30564=CARTESIAN_POINT('',(-1.01043910953617,0.0956422128626171,-0.610248844440754)); +#30565=CARTESIAN_POINT('',(-1.05625566823704,0.0956422128626171,-0.610248844440754)); +#30566=CARTESIAN_POINT('',(-1.05019026509541,0.55,-0.65)); +#30567=CARTESIAN_POINT('',(-1.03398028729954,0.36471910596664,-0.633790022204133)); +#30568=CARTESIAN_POINT('',(-0.775,0.55,-0.65)); +#30569=CARTESIAN_POINT('',(1.1,0.55,-0.65)); +#30570=CARTESIAN_POINT('',(-0.775,0.495,-0.645188123506074)); +#30571=CARTESIAN_POINT('',(-0.775,0.55,-0.65)); +#30572=CARTESIAN_POINT('',(-0.525,0.495,-0.645188123506074)); +#30573=CARTESIAN_POINT('Origin',(1.1,0.55,0.65)); +#30574=CARTESIAN_POINT('',(1.06024884444075,0.0956422128626171,0.560439109536166)); +#30575=CARTESIAN_POINT('',(1.06024884444075,0.0956422128626171,-0.560439109536167)); +#30576=CARTESIAN_POINT('',(1.06024884444075,0.0956422128626171,-0.606255668237038)); +#30577=CARTESIAN_POINT('',(1.1,0.55,-0.600190265095413)); +#30578=CARTESIAN_POINT('',(1.09057499386599,0.442271686934457,-0.590765258961406)); +#30579=CARTESIAN_POINT('',(1.1,0.55,0.600190265095413)); +#30580=CARTESIAN_POINT('',(1.1,0.55,0.65)); +#30581=CARTESIAN_POINT('',(1.10037550848868,0.554292081665748,0.600565773584093)); +#30582=CARTESIAN_POINT('Origin',(1.1,0.55,0.65)); +#30583=CARTESIAN_POINT('',(-0.525,0.495,0.645188123506074)); +#30584=CARTESIAN_POINT('',(-0.525,0.55,0.65)); +#30585=CARTESIAN_POINT('',(-0.525,0.55,0.65)); +#30586=CARTESIAN_POINT('',(-0.775,0.494999999999999,0.645188123506074)); +#30587=CARTESIAN_POINT('',(-0.525,0.495,0.645188123506074)); +#30588=CARTESIAN_POINT('',(-0.775,0.55,0.65)); +#30589=CARTESIAN_POINT('',(-0.775,0.55,0.65)); +#30590=CARTESIAN_POINT('',(-1.05019026509541,0.55,0.65)); +#30591=CARTESIAN_POINT('',(1.1,0.55,0.65)); +#30592=CARTESIAN_POINT('',(-1.01043910953617,0.0956422128626171,0.610248844440754)); +#30593=CARTESIAN_POINT('',(-1.03398028729955,0.36471910596664,0.633790022204133)); +#30594=CARTESIAN_POINT('',(1.01043910953617,0.0956422128626171,0.610248844440754)); +#30595=CARTESIAN_POINT('',(1.05625566823704,0.0956422128626171,0.610248844440754)); +#30596=CARTESIAN_POINT('',(1.05019026509541,0.55,0.65)); +#30597=CARTESIAN_POINT('',(1.05056577358409,0.554292081665748,0.65037550848868)); +#30598=CARTESIAN_POINT('',(0.775,0.55,0.65)); +#30599=CARTESIAN_POINT('',(1.1,0.55,0.65)); +#30600=CARTESIAN_POINT('',(0.775,0.495,0.645188123506074)); +#30601=CARTESIAN_POINT('',(0.775,0.55,0.65)); +#30602=CARTESIAN_POINT('',(0.525,0.494999999999999,0.645188123506074)); +#30603=CARTESIAN_POINT('',(0.775,0.495,0.645188123506074)); +#30604=CARTESIAN_POINT('',(0.525,0.55,0.65)); +#30605=CARTESIAN_POINT('',(0.525,0.55,0.65)); +#30606=CARTESIAN_POINT('',(0.125,0.55,0.65)); +#30607=CARTESIAN_POINT('',(1.1,0.55,0.65)); +#30608=CARTESIAN_POINT('',(0.125,0.494999999999999,0.645188123506074)); +#30609=CARTESIAN_POINT('',(0.125,0.55,0.65)); +#30610=CARTESIAN_POINT('',(-0.125,0.494999999999999,0.645188123506074)); +#30611=CARTESIAN_POINT('',(0.125,0.495,0.645188123506074)); +#30612=CARTESIAN_POINT('',(-0.125,0.55,0.65)); +#30613=CARTESIAN_POINT('',(-0.125,0.55,0.65)); +#30614=CARTESIAN_POINT('',(1.1,0.55,0.65)); +#30615=CARTESIAN_POINT('Origin',(0.,0.05,0.)); +#30616=CARTESIAN_POINT('',(1.01043910953617,0.05,-0.560439109536167)); +#30617=CARTESIAN_POINT('',(1.01043910953617,0.05,0.560439109536166)); +#30618=CARTESIAN_POINT('',(1.01043910953617,0.05,0.606255668237038)); +#30619=CARTESIAN_POINT('',(-1.01043910953617,0.05,0.560439109536167)); +#30620=CARTESIAN_POINT('',(-1.05625566823704,0.05,0.560439109536167)); +#30621=CARTESIAN_POINT('',(-1.01043910953617,0.05,-0.560439109536166)); +#30622=CARTESIAN_POINT('',(-1.01043910953617,0.05,-0.606255668237038)); +#30623=CARTESIAN_POINT('',(1.05625566823704,0.05,-0.560439109536167)); +#30624=CARTESIAN_POINT('Origin',(-1.1,0.55,0.65)); +#30625=CARTESIAN_POINT('',(-1.06024884444075,1.00435778713738,0.560439109536167)); +#30626=CARTESIAN_POINT('',(-1.06024884444075,1.00435778713738,-0.560439109536166)); +#30627=CARTESIAN_POINT('',(-1.06024884444075,1.00435778713738,-0.606255668237038)); +#30628=CARTESIAN_POINT('',(-1.09057499386599,0.657728313065543,-0.590765258961406)); +#30629=CARTESIAN_POINT('',(-1.10037550848868,0.545707918334252,0.600565773584093)); +#30630=CARTESIAN_POINT('Origin',(1.1,0.55,0.65)); +#30631=CARTESIAN_POINT('',(-0.525,0.605,0.645188123506074)); +#30632=CARTESIAN_POINT('',(-0.775,0.605,0.645188123506074)); +#30633=CARTESIAN_POINT('',(-0.525,0.605,0.645188123506074)); +#30634=CARTESIAN_POINT('',(-0.525,0.55,0.65)); +#30635=CARTESIAN_POINT('',(-0.125,0.605,0.645188123506074)); +#30636=CARTESIAN_POINT('',(-0.125,0.55,0.65)); +#30637=CARTESIAN_POINT('',(0.125,0.605,0.645188123506074)); +#30638=CARTESIAN_POINT('',(0.125,0.605,0.645188123506074)); +#30639=CARTESIAN_POINT('',(0.125,0.55,0.65)); +#30640=CARTESIAN_POINT('',(0.525,0.605,0.645188123506074)); +#30641=CARTESIAN_POINT('',(0.525,0.55,0.65)); +#30642=CARTESIAN_POINT('',(0.775,0.605,0.645188123506074)); +#30643=CARTESIAN_POINT('',(0.775,0.605,0.645188123506074)); +#30644=CARTESIAN_POINT('',(0.775,0.55,0.65)); +#30645=CARTESIAN_POINT('',(1.01043910953617,1.00435778713738,0.610248844440754)); +#30646=CARTESIAN_POINT('',(1.05056577358409,0.545707918334252,0.65037550848868)); +#30647=CARTESIAN_POINT('',(-1.01043910953617,1.00435778713738,0.610248844440754)); +#30648=CARTESIAN_POINT('',(-1.05625566823704,1.00435778713738,0.610248844440754)); +#30649=CARTESIAN_POINT('',(-1.03398028729954,0.73528089403336,0.633790022204133)); +#30650=CARTESIAN_POINT('',(-0.775,0.55,0.65)); +#30651=CARTESIAN_POINT('Origin',(1.1,0.55,0.65)); +#30652=CARTESIAN_POINT('',(1.06024884444075,1.00435778713738,-0.560439109536167)); +#30653=CARTESIAN_POINT('',(1.09057499386599,0.657728313065543,-0.590765258961406)); +#30654=CARTESIAN_POINT('',(1.06024884444075,1.00435778713738,0.560439109536166)); +#30655=CARTESIAN_POINT('',(1.06024884444075,1.00435778713738,0.606255668237038)); +#30656=CARTESIAN_POINT('',(1.10037550848868,0.545707918334252,0.600565773584093)); +#30657=CARTESIAN_POINT('Origin',(1.1,0.55,-0.65)); +#30658=CARTESIAN_POINT('',(-0.525,0.605,-0.645188123506074)); +#30659=CARTESIAN_POINT('',(-0.525,0.55,-0.65)); +#30660=CARTESIAN_POINT('',(-0.775,0.605,-0.645188123506074)); +#30661=CARTESIAN_POINT('',(-0.525,0.605,-0.645188123506074)); +#30662=CARTESIAN_POINT('',(-0.775,0.55,-0.65)); +#30663=CARTESIAN_POINT('',(-1.01043910953617,1.00435778713738,-0.610248844440754)); +#30664=CARTESIAN_POINT('',(-1.03398028729955,0.73528089403336,-0.633790022204133)); +#30665=CARTESIAN_POINT('',(1.01043910953617,1.00435778713738,-0.610248844440754)); +#30666=CARTESIAN_POINT('',(1.05625566823704,1.00435778713738,-0.610248844440754)); +#30667=CARTESIAN_POINT('',(1.05056577358409,0.545707918334252,-0.650375508488681)); +#30668=CARTESIAN_POINT('',(0.775,0.605000000000002,-0.645188123506074)); +#30669=CARTESIAN_POINT('',(0.775,0.55,-0.65)); +#30670=CARTESIAN_POINT('',(0.525,0.605,-0.645188123506074)); +#30671=CARTESIAN_POINT('',(0.775,0.605,-0.645188123506074)); +#30672=CARTESIAN_POINT('',(0.525,0.55,-0.65)); +#30673=CARTESIAN_POINT('',(0.125,0.605000000000001,-0.645188123506074)); +#30674=CARTESIAN_POINT('',(0.125,0.55,-0.65)); +#30675=CARTESIAN_POINT('',(-0.125,0.605,-0.645188123506074)); +#30676=CARTESIAN_POINT('',(0.125,0.605,-0.645188123506074)); +#30677=CARTESIAN_POINT('',(-0.125,0.55,-0.65)); +#30678=CARTESIAN_POINT('Origin',(0.,1.05,0.)); +#30679=CARTESIAN_POINT('',(1.01043910953617,1.05,0.560439109536167)); +#30680=CARTESIAN_POINT('',(1.01043910953617,1.05,-0.560439109536167)); +#30681=CARTESIAN_POINT('',(1.01043910953617,1.05,-0.606255668237038)); +#30682=CARTESIAN_POINT('',(-1.01043910953617,1.05,-0.560439109536167)); +#30683=CARTESIAN_POINT('',(-1.05625566823704,1.05,-0.560439109536167)); +#30684=CARTESIAN_POINT('',(-1.01043910953617,1.05,0.560439109536167)); +#30685=CARTESIAN_POINT('',(-1.01043910953617,1.05,0.606255668237038)); +#30686=CARTESIAN_POINT('',(1.05625566823704,1.05,0.560439109536167)); +#30687=CARTESIAN_POINT('Origin',(-5.52390272822685E-17,1.,-0.560439109536167)); +#30688=CARTESIAN_POINT('Origin',(-1.01043910953617,1.,-0.560439109536167)); +#30689=CARTESIAN_POINT('Origin',(1.01043910953617,1.,-0.560439109536167)); +#30690=CARTESIAN_POINT('Origin',(-1.01043910953617,1.,-0.560439109536166)); +#30691=CARTESIAN_POINT('Origin',(-1.01043910953617,1.,-0.560439109536166)); +#30692=CARTESIAN_POINT('Origin',(-1.01043910953617,1.,-0.560439109536166)); +#30693=CARTESIAN_POINT('Origin',(1.01043910953617,1.,-0.560439109536167)); +#30694=CARTESIAN_POINT('Origin',(1.01043910953617,1.,-0.560439109536167)); +#30695=CARTESIAN_POINT('Origin',(1.01043910953617,1.,-0.560439109536167)); +#30696=CARTESIAN_POINT('Origin',(-1.04076525896141,0.65337052592816,-0.590765258961406)); +#30697=CARTESIAN_POINT('Origin',(-1.04980900812283,0.550000000000001,-0.599809008122832)); +#30698=CARTESIAN_POINT('Origin',(-1.01043910953617,1.,0.)); +#30699=CARTESIAN_POINT('Origin',(-1.01043910953617,1.,0.560439109536167)); +#30700=CARTESIAN_POINT('Origin',(5.52390272822685E-17,1.,0.560439109536167)); +#30701=CARTESIAN_POINT('Origin',(1.01043910953617,1.,0.560439109536167)); +#30702=CARTESIAN_POINT('Origin',(-1.01043910953617,1.,0.560439109536167)); +#30703=CARTESIAN_POINT('Origin',(1.01043910953617,1.,0.)); +#30704=CARTESIAN_POINT('Origin',(1.01043910953617,1.,0.560439109536166)); +#30705=CARTESIAN_POINT('Origin',(1.05056577358409,0.541350131196869,-0.600565773584093)); +#30706=CARTESIAN_POINT('Origin',(1.04980900812283,0.55,-0.599809008122833)); +#30707=CARTESIAN_POINT('Origin',(-1.03398028729955,0.369076893104023,-0.583980287299545)); +#30708=CARTESIAN_POINT('Origin',(-1.01043910953617,0.1,-0.560439109536166)); +#30709=CARTESIAN_POINT('Origin',(-1.01043910953617,1.,0.560439109536167)); +#30710=CARTESIAN_POINT('Origin',(-1.01043910953617,1.,0.560439109536167)); +#30711=CARTESIAN_POINT('Origin',(1.01043910953617,1.,0.560439109536166)); +#30712=CARTESIAN_POINT('Origin',(1.01043910953617,1.,0.560439109536166)); +#30713=CARTESIAN_POINT('Origin',(1.04076525896141,0.44662947407184,-0.590765258961406)); +#30714=CARTESIAN_POINT('Origin',(1.01043910953617,0.1,-0.560439109536167)); +#30715=CARTESIAN_POINT('Origin',(1.1,0.1,-0.560439109536167)); +#30716=CARTESIAN_POINT('Origin',(-1.01043910953617,0.1,-0.560439109536166)); +#30717=CARTESIAN_POINT('Origin',(1.01043910953617,0.1,-0.560439109536167)); +#30718=CARTESIAN_POINT('Origin',(-1.01043910953617,0.1,-0.560439109536166)); +#30719=CARTESIAN_POINT('Origin',(-1.01043910953617,0.1,-0.560439109536166)); +#30720=CARTESIAN_POINT('Origin',(-1.03398028729955,0.730923106895977,0.583980287299546)); +#30721=CARTESIAN_POINT('Origin',(-1.04980900812283,0.549999999999998,0.599809008122833)); +#30722=CARTESIAN_POINT('Origin',(1.05056577358409,0.541350131196869,0.600565773584093)); +#30723=CARTESIAN_POINT('Origin',(1.04980900812283,0.55,0.599809008122833)); +#30724=CARTESIAN_POINT('Origin',(1.01043910953617,0.1,-0.560439109536167)); +#30725=CARTESIAN_POINT('Origin',(1.01043910953617,0.1,-0.560439109536167)); +#30726=CARTESIAN_POINT('Origin',(-1.01043910953617,0.1,0.65)); +#30727=CARTESIAN_POINT('Origin',(-1.01043910953617,0.1,0.560439109536167)); +#30728=CARTESIAN_POINT('Origin',(-1.05056577358409,0.558649868803131,0.600565773584093)); +#30729=CARTESIAN_POINT('Origin',(-1.01043910953617,0.1,0.560439109536167)); +#30730=CARTESIAN_POINT('Origin',(1.05056577358409,0.558649868803131,0.600565773584093)); +#30731=CARTESIAN_POINT('Origin',(1.01043910953617,0.1,0.560439109536166)); +#30732=CARTESIAN_POINT('Origin',(1.01043910953617,0.1,0.65)); +#30733=CARTESIAN_POINT('Origin',(1.01043910953617,0.1,0.560439109536166)); +#30734=CARTESIAN_POINT('Origin',(-1.01043910953617,0.1,0.560439109536167)); +#30735=CARTESIAN_POINT('Origin',(-1.01043910953617,0.1,0.560439109536167)); +#30736=CARTESIAN_POINT('Origin',(1.01043910953617,0.1,0.560439109536166)); +#30737=CARTESIAN_POINT('Origin',(1.01043910953617,0.1,0.560439109536166)); +#30738=CARTESIAN_POINT('Origin',(1.1,0.1,0.560439109536166)); +#30739=CARTESIAN_POINT('Origin',(0.775,0.109732045528581,1.05767321211185)); +#30740=CARTESIAN_POINT('',(0.525,0.109732045528581,1.05767321211185)); +#30741=CARTESIAN_POINT('',(0.525,-1.28587913910472E-16,1.05)); +#30742=CARTESIAN_POINT('',(0.525,0.109732045528581,1.05767321211185)); +#30743=CARTESIAN_POINT('',(0.775,-1.28587913910472E-16,1.05)); +#30744=CARTESIAN_POINT('',(0.775,-1.28587913910472E-16,1.05)); +#30745=CARTESIAN_POINT('',(0.775,0.109732045528581,1.05767321211185)); +#30746=CARTESIAN_POINT('',(0.775,0.109732045528581,1.05767321211185)); +#30747=CARTESIAN_POINT('',(0.775,0.109732045528581,1.05767321211185)); +#30748=CARTESIAN_POINT('Origin',(0.775,-1.28587913910472E-16,1.05)); +#30749=CARTESIAN_POINT('',(0.525,0.0180821859957957,0.79141269288231)); +#30750=CARTESIAN_POINT('',(0.525,-1.28587913910472E-16,1.05)); +#30751=CARTESIAN_POINT('',(0.775,0.0180821859957957,0.79141269288231)); +#30752=CARTESIAN_POINT('',(0.775,0.0180821859957957,0.79141269288231)); +#30753=CARTESIAN_POINT('',(0.775,-1.28587913910472E-16,1.05)); +#30754=CARTESIAN_POINT('Origin',(0.775,0.020978043583051,0.75)); +#30755=CARTESIAN_POINT('',(0.775,0.0622170811823954,0.74523146764612)); +#30756=CARTESIAN_POINT('',(0.775,0.450743307326391,0.700305591884948)); +#30757=CARTESIAN_POINT('',(0.775,0.020978043583051,0.75)); +#30758=CARTESIAN_POINT('',(0.525,0.0622170811823954,0.74523146764612)); +#30759=CARTESIAN_POINT('',(0.775,0.0622170811823954,0.74523146764612)); +#30760=CARTESIAN_POINT('',(0.525,0.450743307326391,0.700305591884948)); +#30761=CARTESIAN_POINT('',(0.525,0.020978043583051,0.75)); +#30762=CARTESIAN_POINT('',(0.775,0.450743307326391,0.700305591884948)); +#30763=CARTESIAN_POINT('Origin',(0.775,0.495,0.695188123506074)); +#30764=CARTESIAN_POINT('',(0.775,0.495,0.650636542961552)); +#30765=CARTESIAN_POINT('',(0.775,0.495,0.695188123506074)); +#30766=CARTESIAN_POINT('',(0.525,0.495,0.650636542961552)); +#30767=CARTESIAN_POINT('',(0.525,0.495,0.650636542961552)); +#30768=CARTESIAN_POINT('',(0.525,0.495,0.695188123506074)); +#30769=CARTESIAN_POINT('Origin',(0.775,0.605,0.793201600704022)); +#30770=CARTESIAN_POINT('',(0.525,0.605,0.7486500201595)); +#30771=CARTESIAN_POINT('',(0.525,0.605,0.793201600704022)); +#30772=CARTESIAN_POINT('',(0.775,0.605,0.7486500201595)); +#30773=CARTESIAN_POINT('',(0.775,0.605,0.7486500201595)); +#30774=CARTESIAN_POINT('',(0.775,0.605,0.793201600704022)); +#30775=CARTESIAN_POINT('Origin',(0.775,0.12433920241967,0.848781136452934)); +#30776=CARTESIAN_POINT('',(0.525,0.560743307326391,0.798319069082896)); +#30777=CARTESIAN_POINT('',(0.525,0.165578240019014,0.844012604099054)); +#30778=CARTESIAN_POINT('',(0.525,0.12433920241967,0.848781136452934)); +#30779=CARTESIAN_POINT('',(0.775,0.165578240019014,0.844012604099054)); +#30780=CARTESIAN_POINT('',(0.775,0.165578240019014,0.844012604099054)); +#30781=CARTESIAN_POINT('',(0.775,0.560743307326391,0.798319069082896)); +#30782=CARTESIAN_POINT('',(0.775,0.12433920241967,0.848781136452934)); +#30783=CARTESIAN_POINT('',(0.775,0.560743307326391,0.798319069082896)); +#30784=CARTESIAN_POINT('Origin',(0.775,0.109732045528581,1.05767321211185)); +#30785=CARTESIAN_POINT('',(0.775,0.121443344832415,0.890193829335243)); +#30786=CARTESIAN_POINT('',(0.775,0.109732045528581,1.05767321211185)); +#30787=CARTESIAN_POINT('',(0.525,0.121443344832415,0.890193829335243)); +#30788=CARTESIAN_POINT('',(0.525,0.121443344832415,0.890193829335243)); +#30789=CARTESIAN_POINT('',(0.525,0.109732045528581,1.05767321211185)); +#30790=CARTESIAN_POINT('Origin',(0.775,0.,0.)); +#30791=CARTESIAN_POINT('Origin',(0.775,0.0679603885087869,0.794900516569516)); +#30792=CARTESIAN_POINT('Origin',(0.775,0.445,0.650636542961552)); +#30793=CARTESIAN_POINT('Origin',(0.775,0.555,0.7486500201595)); +#30794=CARTESIAN_POINT('Origin',(0.775,0.171321547345406,0.893681653022449)); +#30795=CARTESIAN_POINT('Origin',(0.525,0.,0.)); +#30796=CARTESIAN_POINT('Origin',(0.525,0.0679603885087869,0.794900516569516)); +#30797=CARTESIAN_POINT('Origin',(0.525,0.171321547345406,0.893681653022449)); +#30798=CARTESIAN_POINT('Origin',(0.525,0.555,0.7486500201595)); +#30799=CARTESIAN_POINT('Origin',(0.525,0.445,0.650636542961552)); +#30800=CARTESIAN_POINT('Origin',(0.775,0.171321547345406,0.893681653022449)); +#30801=CARTESIAN_POINT('Origin',(0.775,0.0679603885087869,0.794900516569516)); +#30802=CARTESIAN_POINT('Origin',(0.775,0.445,0.650636542961552)); +#30803=CARTESIAN_POINT('Origin',(0.775,0.555,0.7486500201595)); +#30804=CARTESIAN_POINT('Origin',(0.125,0.109732045528581,1.05767321211185)); +#30805=CARTESIAN_POINT('',(-0.125,0.109732045528581,1.05767321211185)); +#30806=CARTESIAN_POINT('',(-0.125,-1.28587913910472E-16,1.05)); +#30807=CARTESIAN_POINT('',(-0.125,0.109732045528581,1.05767321211185)); +#30808=CARTESIAN_POINT('',(0.125,-1.28587913910472E-16,1.05)); +#30809=CARTESIAN_POINT('',(0.125,-1.28587913910472E-16,1.05)); +#30810=CARTESIAN_POINT('',(0.125,0.109732045528581,1.05767321211185)); +#30811=CARTESIAN_POINT('',(0.125,0.109732045528581,1.05767321211185)); +#30812=CARTESIAN_POINT('',(0.125,0.109732045528581,1.05767321211185)); +#30813=CARTESIAN_POINT('Origin',(0.125,-1.28587913910472E-16,1.05)); +#30814=CARTESIAN_POINT('',(-0.125,0.0180821859957957,0.79141269288231)); +#30815=CARTESIAN_POINT('',(-0.125,-1.28587913910472E-16,1.05)); +#30816=CARTESIAN_POINT('',(0.125,0.0180821859957957,0.79141269288231)); +#30817=CARTESIAN_POINT('',(0.125,0.0180821859957957,0.79141269288231)); +#30818=CARTESIAN_POINT('',(0.125,-1.28587913910472E-16,1.05)); +#30819=CARTESIAN_POINT('Origin',(0.125,0.020978043583051,0.75)); +#30820=CARTESIAN_POINT('',(0.125,0.0622170811823954,0.74523146764612)); +#30821=CARTESIAN_POINT('',(0.125,0.450743307326391,0.700305591884948)); +#30822=CARTESIAN_POINT('',(0.125,0.020978043583051,0.75)); +#30823=CARTESIAN_POINT('',(-0.125,0.0622170811823954,0.74523146764612)); +#30824=CARTESIAN_POINT('',(0.125,0.0622170811823954,0.74523146764612)); +#30825=CARTESIAN_POINT('',(-0.125,0.450743307326391,0.700305591884948)); +#30826=CARTESIAN_POINT('',(-0.125,0.020978043583051,0.75)); +#30827=CARTESIAN_POINT('',(0.125,0.450743307326391,0.700305591884948)); +#30828=CARTESIAN_POINT('Origin',(0.125,0.495,0.695188123506074)); +#30829=CARTESIAN_POINT('',(0.125,0.495,0.650636542961552)); +#30830=CARTESIAN_POINT('',(0.125,0.495,0.695188123506074)); +#30831=CARTESIAN_POINT('',(-0.125,0.495,0.650636542961552)); +#30832=CARTESIAN_POINT('',(-0.125,0.495,0.650636542961552)); +#30833=CARTESIAN_POINT('',(-0.125,0.495,0.695188123506074)); +#30834=CARTESIAN_POINT('Origin',(0.125,0.605,0.793201600704022)); +#30835=CARTESIAN_POINT('',(-0.125,0.605,0.7486500201595)); +#30836=CARTESIAN_POINT('',(-0.125,0.605,0.793201600704022)); +#30837=CARTESIAN_POINT('',(0.125,0.605,0.7486500201595)); +#30838=CARTESIAN_POINT('',(0.125,0.605,0.7486500201595)); +#30839=CARTESIAN_POINT('',(0.125,0.605,0.793201600704022)); +#30840=CARTESIAN_POINT('Origin',(0.125,0.12433920241967,0.848781136452934)); +#30841=CARTESIAN_POINT('',(-0.125,0.560743307326391,0.798319069082896)); +#30842=CARTESIAN_POINT('',(-0.125,0.165578240019014,0.844012604099054)); +#30843=CARTESIAN_POINT('',(-0.125,0.12433920241967,0.848781136452934)); +#30844=CARTESIAN_POINT('',(0.125,0.165578240019014,0.844012604099054)); +#30845=CARTESIAN_POINT('',(0.125,0.165578240019014,0.844012604099054)); +#30846=CARTESIAN_POINT('',(0.125,0.560743307326391,0.798319069082896)); +#30847=CARTESIAN_POINT('',(0.125,0.12433920241967,0.848781136452934)); +#30848=CARTESIAN_POINT('',(0.125,0.560743307326391,0.798319069082896)); +#30849=CARTESIAN_POINT('Origin',(0.125,0.109732045528581,1.05767321211185)); +#30850=CARTESIAN_POINT('',(0.125,0.121443344832415,0.890193829335243)); +#30851=CARTESIAN_POINT('',(0.125,0.109732045528581,1.05767321211185)); +#30852=CARTESIAN_POINT('',(-0.125,0.121443344832415,0.890193829335243)); +#30853=CARTESIAN_POINT('',(-0.125,0.121443344832415,0.890193829335243)); +#30854=CARTESIAN_POINT('',(-0.125,0.109732045528581,1.05767321211185)); +#30855=CARTESIAN_POINT('Origin',(0.125,0.,4.47394468929689E-17)); +#30856=CARTESIAN_POINT('Origin',(0.125,0.0679603885087869,0.794900516569516)); +#30857=CARTESIAN_POINT('Origin',(0.125,0.445,0.650636542961552)); +#30858=CARTESIAN_POINT('Origin',(0.125,0.555,0.7486500201595)); +#30859=CARTESIAN_POINT('Origin',(0.125,0.171321547345406,0.893681653022449)); +#30860=CARTESIAN_POINT('Origin',(-0.125,0.,4.47394468929689E-17)); +#30861=CARTESIAN_POINT('Origin',(-0.125,0.0679603885087869,0.794900516569516)); +#30862=CARTESIAN_POINT('Origin',(-0.125,0.171321547345406,0.893681653022449)); +#30863=CARTESIAN_POINT('Origin',(-0.125,0.555,0.7486500201595)); +#30864=CARTESIAN_POINT('Origin',(-0.125,0.445,0.650636542961552)); +#30865=CARTESIAN_POINT('Origin',(-0.525,0.109732045528581,1.05767321211185)); +#30866=CARTESIAN_POINT('',(-0.775,0.109732045528581,1.05767321211185)); +#30867=CARTESIAN_POINT('',(-0.775,-1.28587913910472E-16,1.05)); +#30868=CARTESIAN_POINT('',(-0.775,0.109732045528581,1.05767321211185)); +#30869=CARTESIAN_POINT('',(-0.525,-1.28587913910472E-16,1.05)); +#30870=CARTESIAN_POINT('',(-0.525,-1.28587913910472E-16,1.05)); +#30871=CARTESIAN_POINT('',(-0.525,0.109732045528581,1.05767321211185)); +#30872=CARTESIAN_POINT('',(-0.525,0.109732045528581,1.05767321211185)); +#30873=CARTESIAN_POINT('',(-0.525,0.109732045528581,1.05767321211185)); +#30874=CARTESIAN_POINT('Origin',(-0.525,-1.28587913910472E-16,1.05)); +#30875=CARTESIAN_POINT('',(-0.775,0.0180821859957956,0.79141269288231)); +#30876=CARTESIAN_POINT('',(-0.775,-1.28587913910472E-16,1.05)); +#30877=CARTESIAN_POINT('',(-0.525,0.0180821859957956,0.79141269288231)); +#30878=CARTESIAN_POINT('',(-0.525,0.0180821859957956,0.79141269288231)); +#30879=CARTESIAN_POINT('',(-0.525,-1.28587913910472E-16,1.05)); +#30880=CARTESIAN_POINT('Origin',(-0.525,0.020978043583051,0.75)); +#30881=CARTESIAN_POINT('',(-0.525,0.0622170811823954,0.74523146764612)); +#30882=CARTESIAN_POINT('',(-0.525,0.450743307326391,0.700305591884948)); +#30883=CARTESIAN_POINT('',(-0.525,0.020978043583051,0.75)); +#30884=CARTESIAN_POINT('',(-0.775,0.0622170811823954,0.74523146764612)); +#30885=CARTESIAN_POINT('',(-0.525,0.0622170811823954,0.74523146764612)); +#30886=CARTESIAN_POINT('',(-0.775,0.450743307326391,0.700305591884948)); +#30887=CARTESIAN_POINT('',(-0.775,0.020978043583051,0.75)); +#30888=CARTESIAN_POINT('',(-0.525,0.450743307326391,0.700305591884948)); +#30889=CARTESIAN_POINT('Origin',(-0.525,0.495,0.695188123506074)); +#30890=CARTESIAN_POINT('',(-0.525,0.495,0.650636542961553)); +#30891=CARTESIAN_POINT('',(-0.525,0.495,0.695188123506074)); +#30892=CARTESIAN_POINT('',(-0.775,0.495,0.650636542961553)); +#30893=CARTESIAN_POINT('',(-0.775,0.495,0.650636542961553)); +#30894=CARTESIAN_POINT('',(-0.775,0.495,0.695188123506074)); +#30895=CARTESIAN_POINT('Origin',(-0.525,0.605,0.793201600704022)); +#30896=CARTESIAN_POINT('',(-0.775,0.605,0.7486500201595)); +#30897=CARTESIAN_POINT('',(-0.775,0.605,0.793201600704022)); +#30898=CARTESIAN_POINT('',(-0.525,0.605,0.7486500201595)); +#30899=CARTESIAN_POINT('',(-0.525,0.605,0.7486500201595)); +#30900=CARTESIAN_POINT('',(-0.525,0.605,0.793201600704022)); +#30901=CARTESIAN_POINT('Origin',(-0.525,0.12433920241967,0.848781136452934)); +#30902=CARTESIAN_POINT('',(-0.775,0.560743307326391,0.798319069082896)); +#30903=CARTESIAN_POINT('',(-0.775,0.165578240019014,0.844012604099054)); +#30904=CARTESIAN_POINT('',(-0.775,0.12433920241967,0.848781136452934)); +#30905=CARTESIAN_POINT('',(-0.525,0.165578240019014,0.844012604099054)); +#30906=CARTESIAN_POINT('',(-0.525,0.165578240019014,0.844012604099054)); +#30907=CARTESIAN_POINT('',(-0.525,0.560743307326391,0.798319069082896)); +#30908=CARTESIAN_POINT('',(-0.525,0.12433920241967,0.848781136452934)); +#30909=CARTESIAN_POINT('',(-0.525,0.560743307326391,0.798319069082896)); +#30910=CARTESIAN_POINT('Origin',(-0.525,0.109732045528581,1.05767321211185)); +#30911=CARTESIAN_POINT('',(-0.525,0.121443344832415,0.890193829335243)); +#30912=CARTESIAN_POINT('',(-0.525,0.109732045528581,1.05767321211185)); +#30913=CARTESIAN_POINT('',(-0.775,0.121443344832415,0.890193829335243)); +#30914=CARTESIAN_POINT('',(-0.775,0.121443344832415,0.890193829335243)); +#30915=CARTESIAN_POINT('',(-0.775,0.109732045528581,1.05767321211185)); +#30916=CARTESIAN_POINT('Origin',(-0.525,0.,8.94788937859377E-17)); +#30917=CARTESIAN_POINT('Origin',(-0.525,0.0679603885087869,0.794900516569516)); +#30918=CARTESIAN_POINT('Origin',(-0.525,0.445,0.650636542961553)); +#30919=CARTESIAN_POINT('Origin',(-0.525,0.555,0.7486500201595)); +#30920=CARTESIAN_POINT('Origin',(-0.525,0.171321547345406,0.89368165302245)); +#30921=CARTESIAN_POINT('Origin',(-0.775,0.,8.94788937859377E-17)); +#30922=CARTESIAN_POINT('Origin',(-0.775,0.0679603885087869,0.794900516569516)); +#30923=CARTESIAN_POINT('Origin',(-0.775,0.171321547345406,0.89368165302245)); +#30924=CARTESIAN_POINT('Origin',(-0.775,0.555,0.7486500201595)); +#30925=CARTESIAN_POINT('Origin',(-0.775,0.445,0.650636542961553)); +#30926=CARTESIAN_POINT('Origin',(0.125,0.171321547345406,0.893681653022449)); +#30927=CARTESIAN_POINT('Origin',(0.125,0.0679603885087869,0.794900516569516)); +#30928=CARTESIAN_POINT('Origin',(0.125,0.445,0.650636542961552)); +#30929=CARTESIAN_POINT('Origin',(0.125,0.555,0.7486500201595)); +#30930=CARTESIAN_POINT('Origin',(-0.525,0.171321547345406,0.89368165302245)); +#30931=CARTESIAN_POINT('Origin',(-0.525,0.0679603885087869,0.794900516569516)); +#30932=CARTESIAN_POINT('Origin',(-0.525,0.445,0.650636542961553)); +#30933=CARTESIAN_POINT('Origin',(-0.525,0.555,0.7486500201595)); +#30934=CARTESIAN_POINT('Origin',(0.775,0.109732045528581,-1.05767321211185)); +#30935=CARTESIAN_POINT('',(0.525,0.109732045528581,-1.05767321211185)); +#30936=CARTESIAN_POINT('',(0.525,-1.28587913910472E-16,-1.05)); +#30937=CARTESIAN_POINT('',(0.525,0.109732045528581,-1.05767321211185)); +#30938=CARTESIAN_POINT('',(0.775,0.109732045528581,-1.05767321211185)); +#30939=CARTESIAN_POINT('',(0.775,0.109732045528581,-1.05767321211185)); +#30940=CARTESIAN_POINT('',(0.775,-1.28587913910472E-16,-1.05)); +#30941=CARTESIAN_POINT('',(0.775,0.109732045528581,-1.05767321211185)); +#30942=CARTESIAN_POINT('',(0.775,-1.28587913910472E-16,-1.05)); +#30943=CARTESIAN_POINT('Origin',(0.775,0.109732045528581,-1.05767321211185)); +#30944=CARTESIAN_POINT('',(0.525,0.121443344832415,-0.890193829335243)); +#30945=CARTESIAN_POINT('',(0.525,0.109732045528581,-1.05767321211185)); +#30946=CARTESIAN_POINT('',(0.775,0.121443344832415,-0.890193829335243)); +#30947=CARTESIAN_POINT('',(0.775,0.121443344832415,-0.890193829335243)); +#30948=CARTESIAN_POINT('',(0.775,0.109732045528581,-1.05767321211185)); +#30949=CARTESIAN_POINT('Origin',(0.775,0.12433920241967,-0.848781136452934)); +#30950=CARTESIAN_POINT('',(0.775,0.560743307326391,-0.798319069082896)); +#30951=CARTESIAN_POINT('',(0.775,0.165578240019014,-0.844012604099054)); +#30952=CARTESIAN_POINT('',(0.775,0.12433920241967,-0.848781136452934)); +#30953=CARTESIAN_POINT('',(0.525,0.165578240019014,-0.844012604099054)); +#30954=CARTESIAN_POINT('',(0.525,0.165578240019014,-0.844012604099054)); +#30955=CARTESIAN_POINT('',(0.525,0.560743307326391,-0.798319069082896)); +#30956=CARTESIAN_POINT('',(0.525,0.12433920241967,-0.848781136452934)); +#30957=CARTESIAN_POINT('',(0.775,0.560743307326391,-0.798319069082896)); +#30958=CARTESIAN_POINT('Origin',(0.775,0.605,-0.793201600704022)); +#30959=CARTESIAN_POINT('',(0.775,0.605,-0.7486500201595)); +#30960=CARTESIAN_POINT('',(0.775,0.605,-0.793201600704022)); +#30961=CARTESIAN_POINT('',(0.525,0.605,-0.7486500201595)); +#30962=CARTESIAN_POINT('',(0.775,0.605,-0.7486500201595)); +#30963=CARTESIAN_POINT('',(0.525,0.605,-0.793201600704022)); +#30964=CARTESIAN_POINT('Origin',(0.775,0.495,-0.695188123506074)); +#30965=CARTESIAN_POINT('',(0.525,0.495,-0.650636542961552)); +#30966=CARTESIAN_POINT('',(0.525,0.495,-0.695188123506074)); +#30967=CARTESIAN_POINT('',(0.775,0.495,-0.650636542961552)); +#30968=CARTESIAN_POINT('',(0.775,0.495,-0.650636542961552)); +#30969=CARTESIAN_POINT('',(0.775,0.495,-0.695188123506074)); +#30970=CARTESIAN_POINT('Origin',(0.775,0.020978043583051,-0.75)); +#30971=CARTESIAN_POINT('',(0.525,0.0622170811823954,-0.74523146764612)); +#30972=CARTESIAN_POINT('',(0.525,0.450743307326391,-0.700305591884948)); +#30973=CARTESIAN_POINT('',(0.525,0.020978043583051,-0.75)); +#30974=CARTESIAN_POINT('',(0.775,0.0622170811823954,-0.74523146764612)); +#30975=CARTESIAN_POINT('',(0.775,0.0622170811823954,-0.74523146764612)); +#30976=CARTESIAN_POINT('',(0.775,0.450743307326391,-0.700305591884948)); +#30977=CARTESIAN_POINT('',(0.775,0.020978043583051,-0.75)); +#30978=CARTESIAN_POINT('',(0.525,0.450743307326391,-0.700305591884948)); +#30979=CARTESIAN_POINT('Origin',(0.775,-1.28587913910472E-16,-1.05)); +#30980=CARTESIAN_POINT('',(0.775,0.0180821859957957,-0.79141269288231)); +#30981=CARTESIAN_POINT('',(0.775,-1.28587913910472E-16,-1.05)); +#30982=CARTESIAN_POINT('',(0.525,0.0180821859957957,-0.79141269288231)); +#30983=CARTESIAN_POINT('',(0.775,0.0180821859957957,-0.79141269288231)); +#30984=CARTESIAN_POINT('',(0.525,-1.28587913910472E-16,-1.05)); +#30985=CARTESIAN_POINT('Origin',(0.775,0.,0.)); +#30986=CARTESIAN_POINT('Origin',(0.775,0.0679603885087869,-0.794900516569516)); +#30987=CARTESIAN_POINT('Origin',(0.775,0.171321547345406,-0.893681653022449)); +#30988=CARTESIAN_POINT('Origin',(0.775,0.555,-0.7486500201595)); +#30989=CARTESIAN_POINT('Origin',(0.775,0.445,-0.650636542961552)); +#30990=CARTESIAN_POINT('Origin',(0.525,0.,0.)); +#30991=CARTESIAN_POINT('Origin',(0.525,0.0679603885087869,-0.794900516569516)); +#30992=CARTESIAN_POINT('Origin',(0.525,0.445,-0.650636542961552)); +#30993=CARTESIAN_POINT('Origin',(0.525,0.555,-0.7486500201595)); +#30994=CARTESIAN_POINT('Origin',(0.525,0.171321547345406,-0.893681653022449)); +#30995=CARTESIAN_POINT('Origin',(0.775,0.171321547345406,-0.893681653022449)); +#30996=CARTESIAN_POINT('Origin',(0.775,0.0679603885087869,-0.794900516569516)); +#30997=CARTESIAN_POINT('Origin',(0.775,0.445,-0.650636542961552)); +#30998=CARTESIAN_POINT('Origin',(0.775,0.555,-0.7486500201595)); +#30999=CARTESIAN_POINT('Origin',(0.125,0.109732045528581,-1.05767321211185)); +#31000=CARTESIAN_POINT('',(-0.125,0.109732045528581,-1.05767321211185)); +#31001=CARTESIAN_POINT('',(-0.125,-1.28587913910472E-16,-1.05)); +#31002=CARTESIAN_POINT('',(-0.125,0.109732045528581,-1.05767321211185)); +#31003=CARTESIAN_POINT('',(0.125,0.109732045528581,-1.05767321211185)); +#31004=CARTESIAN_POINT('',(0.125,0.109732045528581,-1.05767321211185)); +#31005=CARTESIAN_POINT('',(0.125,-1.28587913910472E-16,-1.05)); +#31006=CARTESIAN_POINT('',(0.125,0.109732045528581,-1.05767321211185)); +#31007=CARTESIAN_POINT('',(0.125,-1.28587913910472E-16,-1.05)); +#31008=CARTESIAN_POINT('Origin',(0.125,0.109732045528581,-1.05767321211185)); +#31009=CARTESIAN_POINT('',(-0.125,0.121443344832415,-0.890193829335243)); +#31010=CARTESIAN_POINT('',(-0.125,0.109732045528581,-1.05767321211185)); +#31011=CARTESIAN_POINT('',(0.125,0.121443344832415,-0.890193829335243)); +#31012=CARTESIAN_POINT('',(0.125,0.121443344832415,-0.890193829335243)); +#31013=CARTESIAN_POINT('',(0.125,0.109732045528581,-1.05767321211185)); +#31014=CARTESIAN_POINT('Origin',(0.125,0.12433920241967,-0.848781136452934)); +#31015=CARTESIAN_POINT('',(0.125,0.560743307326391,-0.798319069082896)); +#31016=CARTESIAN_POINT('',(0.125,0.165578240019014,-0.844012604099054)); +#31017=CARTESIAN_POINT('',(0.125,0.12433920241967,-0.848781136452934)); +#31018=CARTESIAN_POINT('',(-0.125,0.165578240019014,-0.844012604099054)); +#31019=CARTESIAN_POINT('',(-0.125,0.165578240019014,-0.844012604099054)); +#31020=CARTESIAN_POINT('',(-0.125,0.560743307326391,-0.798319069082896)); +#31021=CARTESIAN_POINT('',(-0.125,0.12433920241967,-0.848781136452934)); +#31022=CARTESIAN_POINT('',(0.125,0.560743307326391,-0.798319069082896)); +#31023=CARTESIAN_POINT('Origin',(0.125,0.605,-0.793201600704022)); +#31024=CARTESIAN_POINT('',(0.125,0.605,-0.7486500201595)); +#31025=CARTESIAN_POINT('',(0.125,0.605,-0.793201600704022)); +#31026=CARTESIAN_POINT('',(-0.125,0.605,-0.7486500201595)); +#31027=CARTESIAN_POINT('',(0.125,0.605,-0.7486500201595)); +#31028=CARTESIAN_POINT('',(-0.125,0.605,-0.793201600704022)); +#31029=CARTESIAN_POINT('Origin',(0.125,0.495,-0.695188123506074)); +#31030=CARTESIAN_POINT('',(-0.125,0.495,-0.650636542961552)); +#31031=CARTESIAN_POINT('',(-0.125,0.495,-0.695188123506074)); +#31032=CARTESIAN_POINT('',(0.125,0.495,-0.650636542961552)); +#31033=CARTESIAN_POINT('',(0.125,0.495,-0.650636542961552)); +#31034=CARTESIAN_POINT('',(0.125,0.495,-0.695188123506074)); +#31035=CARTESIAN_POINT('Origin',(0.125,0.020978043583051,-0.75)); +#31036=CARTESIAN_POINT('',(-0.125,0.0622170811823954,-0.74523146764612)); +#31037=CARTESIAN_POINT('',(-0.125,0.450743307326391,-0.700305591884948)); +#31038=CARTESIAN_POINT('',(-0.125,0.020978043583051,-0.75)); +#31039=CARTESIAN_POINT('',(0.125,0.0622170811823954,-0.74523146764612)); +#31040=CARTESIAN_POINT('',(0.125,0.0622170811823954,-0.74523146764612)); +#31041=CARTESIAN_POINT('',(0.125,0.450743307326391,-0.700305591884948)); +#31042=CARTESIAN_POINT('',(0.125,0.020978043583051,-0.75)); +#31043=CARTESIAN_POINT('',(-0.125,0.450743307326391,-0.700305591884948)); +#31044=CARTESIAN_POINT('Origin',(0.125,-1.28587913910472E-16,-1.05)); +#31045=CARTESIAN_POINT('',(0.125,0.0180821859957957,-0.79141269288231)); +#31046=CARTESIAN_POINT('',(0.125,-1.28587913910472E-16,-1.05)); +#31047=CARTESIAN_POINT('',(-0.125,0.0180821859957957,-0.79141269288231)); +#31048=CARTESIAN_POINT('',(0.125,0.0180821859957957,-0.79141269288231)); +#31049=CARTESIAN_POINT('',(-0.125,-1.28587913910472E-16,-1.05)); +#31050=CARTESIAN_POINT('Origin',(0.125,0.,0.)); +#31051=CARTESIAN_POINT('Origin',(0.125,0.0679603885087869,-0.794900516569516)); +#31052=CARTESIAN_POINT('Origin',(0.125,0.171321547345406,-0.893681653022449)); +#31053=CARTESIAN_POINT('Origin',(0.125,0.555,-0.7486500201595)); +#31054=CARTESIAN_POINT('Origin',(0.125,0.445,-0.650636542961552)); +#31055=CARTESIAN_POINT('Origin',(-0.125,0.,0.)); +#31056=CARTESIAN_POINT('Origin',(-0.125,0.0679603885087869,-0.794900516569516)); +#31057=CARTESIAN_POINT('Origin',(-0.125,0.445,-0.650636542961552)); +#31058=CARTESIAN_POINT('Origin',(-0.125,0.555,-0.7486500201595)); +#31059=CARTESIAN_POINT('Origin',(-0.125,0.171321547345406,-0.893681653022449)); +#31060=CARTESIAN_POINT('Origin',(-0.525,0.109732045528581,-1.05767321211185)); +#31061=CARTESIAN_POINT('',(-0.775,0.109732045528581,-1.05767321211185)); +#31062=CARTESIAN_POINT('',(-0.775,-1.28587913910472E-16,-1.05)); +#31063=CARTESIAN_POINT('',(-0.775,0.109732045528581,-1.05767321211185)); +#31064=CARTESIAN_POINT('',(-0.525,0.109732045528581,-1.05767321211185)); +#31065=CARTESIAN_POINT('',(-0.525,0.109732045528581,-1.05767321211185)); +#31066=CARTESIAN_POINT('',(-0.525,-1.28587913910472E-16,-1.05)); +#31067=CARTESIAN_POINT('',(-0.525,0.109732045528581,-1.05767321211185)); +#31068=CARTESIAN_POINT('',(-0.525,-1.28587913910472E-16,-1.05)); +#31069=CARTESIAN_POINT('Origin',(-0.525,0.109732045528581,-1.05767321211185)); +#31070=CARTESIAN_POINT('',(-0.775,0.121443344832415,-0.890193829335243)); +#31071=CARTESIAN_POINT('',(-0.775,0.109732045528581,-1.05767321211185)); +#31072=CARTESIAN_POINT('',(-0.525,0.121443344832415,-0.890193829335243)); +#31073=CARTESIAN_POINT('',(-0.525,0.121443344832415,-0.890193829335243)); +#31074=CARTESIAN_POINT('',(-0.525,0.109732045528581,-1.05767321211185)); +#31075=CARTESIAN_POINT('Origin',(-0.525,0.12433920241967,-0.848781136452934)); +#31076=CARTESIAN_POINT('',(-0.525,0.560743307326391,-0.798319069082896)); +#31077=CARTESIAN_POINT('',(-0.525,0.165578240019014,-0.844012604099054)); +#31078=CARTESIAN_POINT('',(-0.525,0.12433920241967,-0.848781136452934)); +#31079=CARTESIAN_POINT('',(-0.775,0.165578240019014,-0.844012604099054)); +#31080=CARTESIAN_POINT('',(-0.775,0.165578240019014,-0.844012604099054)); +#31081=CARTESIAN_POINT('',(-0.775,0.560743307326391,-0.798319069082896)); +#31082=CARTESIAN_POINT('',(-0.775,0.12433920241967,-0.848781136452934)); +#31083=CARTESIAN_POINT('',(-0.525,0.560743307326391,-0.798319069082896)); +#31084=CARTESIAN_POINT('Origin',(-0.525,0.605,-0.793201600704022)); +#31085=CARTESIAN_POINT('',(-0.525,0.605,-0.7486500201595)); +#31086=CARTESIAN_POINT('',(-0.525,0.605,-0.793201600704022)); +#31087=CARTESIAN_POINT('',(-0.775,0.605,-0.7486500201595)); +#31088=CARTESIAN_POINT('',(-0.525,0.605,-0.7486500201595)); +#31089=CARTESIAN_POINT('',(-0.775,0.605,-0.793201600704022)); +#31090=CARTESIAN_POINT('Origin',(-0.525,0.495,-0.695188123506074)); +#31091=CARTESIAN_POINT('',(-0.775,0.495,-0.650636542961552)); +#31092=CARTESIAN_POINT('',(-0.775,0.495,-0.695188123506074)); +#31093=CARTESIAN_POINT('',(-0.525,0.495,-0.650636542961552)); +#31094=CARTESIAN_POINT('',(-0.525,0.495,-0.650636542961552)); +#31095=CARTESIAN_POINT('',(-0.525,0.495,-0.695188123506074)); +#31096=CARTESIAN_POINT('Origin',(-0.525,0.020978043583051,-0.75)); +#31097=CARTESIAN_POINT('',(-0.775,0.0622170811823954,-0.74523146764612)); +#31098=CARTESIAN_POINT('',(-0.775,0.450743307326391,-0.700305591884948)); +#31099=CARTESIAN_POINT('',(-0.775,0.020978043583051,-0.75)); +#31100=CARTESIAN_POINT('',(-0.525,0.0622170811823954,-0.74523146764612)); +#31101=CARTESIAN_POINT('',(-0.525,0.0622170811823954,-0.74523146764612)); +#31102=CARTESIAN_POINT('',(-0.525,0.450743307326391,-0.700305591884948)); +#31103=CARTESIAN_POINT('',(-0.525,0.020978043583051,-0.75)); +#31104=CARTESIAN_POINT('',(-0.775,0.450743307326391,-0.700305591884948)); +#31105=CARTESIAN_POINT('Origin',(-0.525,-1.28587913910472E-16,-1.05)); +#31106=CARTESIAN_POINT('',(-0.525,0.0180821859957957,-0.79141269288231)); +#31107=CARTESIAN_POINT('',(-0.525,-1.28587913910472E-16,-1.05)); +#31108=CARTESIAN_POINT('',(-0.775,0.0180821859957957,-0.79141269288231)); +#31109=CARTESIAN_POINT('',(-0.525,0.0180821859957957,-0.79141269288231)); +#31110=CARTESIAN_POINT('',(-0.775,-1.28587913910472E-16,-1.05)); +#31111=CARTESIAN_POINT('Origin',(-0.525,0.,0.)); +#31112=CARTESIAN_POINT('Origin',(-0.525,0.0679603885087869,-0.794900516569516)); +#31113=CARTESIAN_POINT('Origin',(-0.525,0.171321547345406,-0.893681653022449)); +#31114=CARTESIAN_POINT('Origin',(-0.525,0.555,-0.7486500201595)); +#31115=CARTESIAN_POINT('Origin',(-0.525,0.445,-0.650636542961552)); +#31116=CARTESIAN_POINT('Origin',(-0.775,0.,0.)); +#31117=CARTESIAN_POINT('Origin',(-0.775,0.0679603885087869,-0.794900516569516)); +#31118=CARTESIAN_POINT('Origin',(-0.775,0.445,-0.650636542961552)); +#31119=CARTESIAN_POINT('Origin',(-0.775,0.555,-0.7486500201595)); +#31120=CARTESIAN_POINT('Origin',(-0.775,0.171321547345406,-0.893681653022449)); +#31121=CARTESIAN_POINT('Origin',(0.125,0.171321547345406,-0.893681653022449)); +#31122=CARTESIAN_POINT('Origin',(0.125,0.0679603885087869,-0.794900516569516)); +#31123=CARTESIAN_POINT('Origin',(0.125,0.445,-0.650636542961552)); +#31124=CARTESIAN_POINT('Origin',(0.125,0.555,-0.7486500201595)); +#31125=CARTESIAN_POINT('Origin',(-0.525,0.171321547345406,-0.893681653022449)); +#31126=CARTESIAN_POINT('Origin',(-0.525,0.0679603885087869,-0.794900516569516)); +#31127=CARTESIAN_POINT('Origin',(-0.525,0.445,-0.650636542961552)); +#31128=CARTESIAN_POINT('Origin',(-0.525,0.555,-0.7486500201595)); +#31129=CARTESIAN_POINT('Origin',(0.65,0.00999999999999986,15.000000230968)); +#31130=CARTESIAN_POINT('',(0.01,0.00999999999999986,14.5000008195639)); +#31131=CARTESIAN_POINT('',(0.01,0.00999999999999987,14.6001252505054)); +#31132=CARTESIAN_POINT('',(0.01,0.00999999999999987,15.000000230968)); +#31133=CARTESIAN_POINT('',(1.3,0.00999999999999986,14.5000008195639)); +#31134=CARTESIAN_POINT('',(1.3,0.00999999999999986,14.5000008195639)); +#31135=CARTESIAN_POINT('',(1.3,0.00999999999999986,15.4999996423721)); +#31136=CARTESIAN_POINT('Origin',(1.3,0.00999999999999986,15.000000230968)); +#31137=CARTESIAN_POINT('',(0.01,0.00999999999999986,15.4999996423721)); +#31138=CARTESIAN_POINT('',(3.06161339375816E-17,0.00999999999999986,15.4999996423721)); +#31139=CARTESIAN_POINT('',(0.01,0.00999999999999987,15.3998752114306)); +#31140=CARTESIAN_POINT('',(0.01,0.00999999999999987,15.000000230968)); +#31141=CARTESIAN_POINT('Origin',(0.,0.00999999999999987,15.000000230968)); +#31142=CARTESIAN_POINT('',(1.7,0.00999999999999986,15.000000230968)); +#31143=CARTESIAN_POINT('Origin',(1.3,0.00999999999999987,15.000000230968)); +#31144=CARTESIAN_POINT('Origin',(1.3,-1.38777878078145E-16,15.000000230968)); +#31145=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,15.4999996423721)); +#31146=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,14.5000008195639)); +#31147=CARTESIAN_POINT('Origin',(1.3,0.,15.000000230968)); +#31148=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,15.4999996423721)); +#31149=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,14.5000008195639)); +#31150=CARTESIAN_POINT('Origin',(0.,1.,14.5000008195639)); +#31151=CARTESIAN_POINT('',(0.01,0.99,14.5000008195639)); +#31152=CARTESIAN_POINT('',(0.01,0.,14.5000008195639)); +#31153=CARTESIAN_POINT('',(1.3,0.99,14.5000008195639)); +#31154=CARTESIAN_POINT('',(1.3,0.99,14.5000008195639)); +#31155=CARTESIAN_POINT('',(1.3,1.,14.5000008195639)); +#31156=CARTESIAN_POINT('',(1.3,1.,14.5000008195639)); +#31157=CARTESIAN_POINT('',(0.,1.,14.5000008195639)); +#31158=CARTESIAN_POINT('',(4.,1.,14.5000008195639)); +#31159=CARTESIAN_POINT('',(0.,0.,14.5000008195639)); +#31160=CARTESIAN_POINT('',(0.,0.5,14.5000008195639)); +#31161=CARTESIAN_POINT('',(4.,0.,14.5000008195639)); +#31162=CARTESIAN_POINT('Origin',(0.01,0.5,15.000000230968)); +#31163=CARTESIAN_POINT('',(0.01,0.99,14.6001252505054)); +#31164=CARTESIAN_POINT('',(0.01,1.,14.6001252505054)); +#31165=CARTESIAN_POINT('',(0.01,0.99,15.000000230968)); +#31166=CARTESIAN_POINT('Origin',(0.,1.,15.000000230968)); +#31167=CARTESIAN_POINT('',(0.01,0.99,15.3998752114306)); +#31168=CARTESIAN_POINT('',(0.01,1.,15.3998752114306)); +#31169=CARTESIAN_POINT('Origin',(0.,0.99,15.000000230968)); +#31170=CARTESIAN_POINT('Origin',(0.01,0.5,15.000000230968)); +#31171=CARTESIAN_POINT('',(0.01,0.99,15.4999996423721)); +#31172=CARTESIAN_POINT('',(0.01,1.,15.4999996423721)); +#31173=CARTESIAN_POINT('',(0.01,0.99,15.000000230968)); +#31174=CARTESIAN_POINT('Origin',(1.3,1.,15.4999996423721)); +#31175=CARTESIAN_POINT('',(3.06161339375816E-17,1.,15.4999996423721)); +#31176=CARTESIAN_POINT('',(0.,0.,15.4999996423721)); +#31177=CARTESIAN_POINT('',(0.,0.5,15.4999996423721)); +#31178=CARTESIAN_POINT('',(1.3,1.,15.4999996423721)); +#31179=CARTESIAN_POINT('',(4.65,1.,15.4999996423721)); +#31180=CARTESIAN_POINT('',(1.3,0.99,15.4999996423721)); +#31181=CARTESIAN_POINT('',(1.3,1.,15.4999996423721)); +#31182=CARTESIAN_POINT('',(3.06161339375816E-17,0.99,15.4999996423721)); +#31183=CARTESIAN_POINT('',(4.,0.,15.4999996423721)); +#31184=CARTESIAN_POINT('Origin',(14.7,-1.38777878078145E-16,11.0000001713634)); +#31185=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,10.5000006109476)); +#31186=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,11.4999997317791)); +#31187=CARTESIAN_POINT('Origin',(14.7,0.,11.0000001713634)); +#31188=CARTESIAN_POINT('',(14.7,0.00999999999999986,10.5000006109476)); +#31189=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,10.5000006109476)); +#31190=CARTESIAN_POINT('',(14.7,0.00999999999999986,11.4999997317791)); +#31191=CARTESIAN_POINT('Origin',(14.7,0.00999999999999986,11.0000001713634)); +#31192=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,11.4999997317791)); +#31193=CARTESIAN_POINT('Origin',(15.35,0.00999999999999986,11.0000001713634)); +#31194=CARTESIAN_POINT('',(15.99,0.00999999999999987,10.6001251909007)); +#31195=CARTESIAN_POINT('',(15.99,0.00999999999999986,10.5000006109476)); +#31196=CARTESIAN_POINT('',(15.99,0.00999999999999987,10.9999999599531)); +#31197=CARTESIAN_POINT('',(15.99,0.00999999999999987,11.399875151826)); +#31198=CARTESIAN_POINT('Origin',(16.,0.00999999999999987,11.0000001713634)); +#31199=CARTESIAN_POINT('',(15.99,0.00999999999999986,11.4999997317791)); +#31200=CARTESIAN_POINT('',(15.99,0.00999999999999987,10.9999999599531)); +#31201=CARTESIAN_POINT('',(14.7,0.00999999999999986,11.4999997317791)); +#31202=CARTESIAN_POINT('',(16.,0.00999999999999986,10.5000006109476)); +#31203=CARTESIAN_POINT('',(15.1,0.00999999999999986,11.0000001713634)); +#31204=CARTESIAN_POINT('Origin',(14.7,0.00999999999999987,11.0000001713634)); +#31205=CARTESIAN_POINT('Origin',(15.35,0.00999999999999986,2.9999999217689)); +#31206=CARTESIAN_POINT('',(15.99,0.00999999999999986,3.49999991059303)); +#31207=CARTESIAN_POINT('',(15.99,0.00999999999999987,3.39987490223155)); +#31208=CARTESIAN_POINT('',(15.99,0.00999999999999987,2.9999999217689)); +#31209=CARTESIAN_POINT('',(14.7,0.00999999999999986,3.49999991059303)); +#31210=CARTESIAN_POINT('',(14.7,0.00999999999999986,3.49999991059303)); +#31211=CARTESIAN_POINT('',(14.7,0.00999999999999986,2.49999993294477)); +#31212=CARTESIAN_POINT('Origin',(14.7,0.00999999999999986,2.9999999217689)); +#31213=CARTESIAN_POINT('',(15.99,0.00999999999999986,2.49999993294477)); +#31214=CARTESIAN_POINT('',(16.,0.00999999999999986,2.49999993294477)); +#31215=CARTESIAN_POINT('',(15.99,0.00999999999999987,2.60012494130626)); +#31216=CARTESIAN_POINT('',(15.99,0.00999999999999987,2.9999999217689)); +#31217=CARTESIAN_POINT('Origin',(16.,0.00999999999999987,2.9999999217689)); +#31218=CARTESIAN_POINT('',(15.1,0.00999999999999986,2.9999999217689)); +#31219=CARTESIAN_POINT('Origin',(14.7,0.00999999999999987,2.9999999217689)); +#31220=CARTESIAN_POINT('Origin',(14.7,-1.38777878078145E-16,2.9999999217689)); +#31221=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,2.49999993294477)); +#31222=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,3.49999991059303)); +#31223=CARTESIAN_POINT('Origin',(14.7,0.,2.9999999217689)); +#31224=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,2.49999993294477)); +#31225=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,3.49999991059303)); +#31226=CARTESIAN_POINT('Origin',(1.3,-1.38777878078145E-16,4.99999987706542)); +#31227=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,5.49999986588955)); +#31228=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,4.49999988824129)); +#31229=CARTESIAN_POINT('Origin',(1.3,0.,4.99999987706542)); +#31230=CARTESIAN_POINT('',(1.3,0.00999999999999986,5.49999986588955)); +#31231=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,5.49999986588955)); +#31232=CARTESIAN_POINT('',(1.3,0.00999999999999986,4.49999988824129)); +#31233=CARTESIAN_POINT('Origin',(1.3,0.00999999999999986,4.99999987706542)); +#31234=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,4.49999988824129)); +#31235=CARTESIAN_POINT('Origin',(0.65,0.00999999999999986,4.99999987706542)); +#31236=CARTESIAN_POINT('',(0.01,0.00999999999999987,5.39987607680843)); +#31237=CARTESIAN_POINT('',(0.01,0.00999999999999986,5.49999986588955)); +#31238=CARTESIAN_POINT('',(0.01,0.00999999999999987,4.99999987706542)); +#31239=CARTESIAN_POINT('',(0.01,0.00999999999999987,4.60012367732242)); +#31240=CARTESIAN_POINT('Origin',(0.,0.00999999999999987,4.99999987706542)); +#31241=CARTESIAN_POINT('',(0.01,0.00999999999999986,4.49999988824129)); +#31242=CARTESIAN_POINT('',(0.01,0.00999999999999987,4.99999987706542)); +#31243=CARTESIAN_POINT('',(1.3,0.00999999999999986,4.49999988824129)); +#31244=CARTESIAN_POINT('',(3.06161692943591E-17,0.00999999999999986,5.49999986588955)); +#31245=CARTESIAN_POINT('',(1.7,0.00999999999999986,4.99999987706542)); +#31246=CARTESIAN_POINT('Origin',(1.3,0.00999999999999987,4.99999987706542)); +#31247=CARTESIAN_POINT('Origin',(1.3,-1.38777878078145E-16,13.0000002011657)); +#31248=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,13.4999996870756)); +#31249=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,12.5000007152557)); +#31250=CARTESIAN_POINT('Origin',(1.3,0.,13.0000002011657)); +#31251=CARTESIAN_POINT('',(1.3,0.00999999999999986,13.4999996870756)); +#31252=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,13.4999996870756)); +#31253=CARTESIAN_POINT('',(1.3,0.00999999999999986,12.5000007152557)); +#31254=CARTESIAN_POINT('Origin',(1.3,0.00999999999999986,13.0000002011657)); +#31255=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,12.5000007152557)); +#31256=CARTESIAN_POINT('Origin',(0.65,0.00999999999999986,13.0000002011657)); +#31257=CARTESIAN_POINT('',(0.01,0.00999999999999987,13.3998751816283)); +#31258=CARTESIAN_POINT('',(0.01,0.00999999999999986,13.4999996870756)); +#31259=CARTESIAN_POINT('',(0.01,0.00999999999999987,13.0000002011657)); +#31260=CARTESIAN_POINT('',(0.01,0.00999999999999987,12.600125220703)); +#31261=CARTESIAN_POINT('Origin',(0.,0.00999999999999987,13.0000002011657)); +#31262=CARTESIAN_POINT('',(0.01,0.00999999999999986,12.5000007152557)); +#31263=CARTESIAN_POINT('',(0.01,0.00999999999999987,13.0000002011657)); +#31264=CARTESIAN_POINT('',(1.3,0.00999999999999986,12.5000007152557)); +#31265=CARTESIAN_POINT('',(3.06161384997464E-17,0.00999999999999986,13.4999996870756)); +#31266=CARTESIAN_POINT('',(1.7,0.00999999999999986,13.0000002011657)); +#31267=CARTESIAN_POINT('Origin',(1.3,0.00999999999999987,13.0000002011657)); +#31268=CARTESIAN_POINT('Origin',(1.3,-1.38777878078145E-16,6.99999983236194)); +#31269=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,7.49999982118607)); +#31270=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,6.49999984353781)); +#31271=CARTESIAN_POINT('Origin',(1.3,0.,6.99999983236194)); +#31272=CARTESIAN_POINT('',(1.3,0.00999999999999986,7.49999982118607)); +#31273=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,7.49999982118607)); +#31274=CARTESIAN_POINT('',(1.3,0.00999999999999986,6.49999984353781)); +#31275=CARTESIAN_POINT('Origin',(1.3,0.00999999999999986,6.99999983236194)); +#31276=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,6.49999984353781)); +#31277=CARTESIAN_POINT('Origin',(0.65,0.00999999999999986,6.99999983236194)); +#31278=CARTESIAN_POINT('',(0.01,0.00999999999999987,7.39987481282458)); +#31279=CARTESIAN_POINT('',(0.01,0.00999999999999986,7.49999982118607)); +#31280=CARTESIAN_POINT('',(0.01,0.00999999999999987,6.99999983236194)); +#31281=CARTESIAN_POINT('',(0.01,0.00999999999999987,6.60012485189929)); +#31282=CARTESIAN_POINT('Origin',(0.,0.00999999999999987,6.99999983236194)); +#31283=CARTESIAN_POINT('',(0.01,0.00999999999999986,6.49999984353781)); +#31284=CARTESIAN_POINT('',(0.01,0.00999999999999987,6.99999983236194)); +#31285=CARTESIAN_POINT('',(1.3,0.00999999999999986,6.49999984353781)); +#31286=CARTESIAN_POINT('',(3.06161692943591E-17,0.00999999999999986,7.49999982118607)); +#31287=CARTESIAN_POINT('',(1.7,0.00999999999999986,6.99999983236194)); +#31288=CARTESIAN_POINT('Origin',(1.3,0.00999999999999987,6.99999983236194)); +#31289=CARTESIAN_POINT('Origin',(14.7,-1.38777878078145E-16,1.)); +#31290=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,0.5)); +#31291=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,1.5)); +#31292=CARTESIAN_POINT('Origin',(14.7,0.,1.)); +#31293=CARTESIAN_POINT('',(14.7,0.00999999999999986,0.5)); +#31294=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,0.5)); +#31295=CARTESIAN_POINT('',(14.7,0.00999999999999986,1.5)); +#31296=CARTESIAN_POINT('Origin',(14.7,0.00999999999999986,1.)); +#31297=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,1.5)); +#31298=CARTESIAN_POINT('Origin',(15.35,0.00999999999999986,1.)); +#31299=CARTESIAN_POINT('',(15.99,0.00999999999999987,0.600125019537356)); +#31300=CARTESIAN_POINT('',(15.99,0.00999999999999986,0.5)); +#31301=CARTESIAN_POINT('',(15.99,0.00999999999999987,0.999999997206032)); +#31302=CARTESIAN_POINT('',(15.99,0.00999999999999987,1.39987498046264)); +#31303=CARTESIAN_POINT('Origin',(16.,0.00999999999999987,1.)); +#31304=CARTESIAN_POINT('',(15.99,0.00999999999999986,1.5)); +#31305=CARTESIAN_POINT('',(15.99,0.00999999999999987,0.999999997206032)); +#31306=CARTESIAN_POINT('',(14.7,0.00999999999999986,1.5)); +#31307=CARTESIAN_POINT('',(16.,0.00999999999999986,0.5)); +#31308=CARTESIAN_POINT('',(15.1,0.00999999999999986,1.)); +#31309=CARTESIAN_POINT('Origin',(14.7,0.00999999999999987,1.)); +#31310=CARTESIAN_POINT('Origin',(15.35,0.00999999999999986,8.99999978765845)); +#31311=CARTESIAN_POINT('',(15.99,0.00999999999999986,9.49999977648258)); +#31312=CARTESIAN_POINT('',(15.99,0.00999999999999987,9.3998747681211)); +#31313=CARTESIAN_POINT('',(15.99,0.00999999999999987,8.99999978765845)); +#31314=CARTESIAN_POINT('',(14.7,0.00999999999999986,9.49999977648258)); +#31315=CARTESIAN_POINT('',(14.7,0.00999999999999986,9.49999977648258)); +#31316=CARTESIAN_POINT('',(14.7,0.00999999999999986,8.49999979883432)); +#31317=CARTESIAN_POINT('Origin',(14.7,0.00999999999999986,8.99999978765845)); +#31318=CARTESIAN_POINT('',(15.99,0.00999999999999986,8.49999979883432)); +#31319=CARTESIAN_POINT('',(16.,0.00999999999999986,8.49999979883432)); +#31320=CARTESIAN_POINT('',(15.99,0.00999999999999987,8.60012480719581)); +#31321=CARTESIAN_POINT('',(15.99,0.00999999999999987,8.99999978765845)); +#31322=CARTESIAN_POINT('Origin',(16.,0.00999999999999987,8.99999978765845)); +#31323=CARTESIAN_POINT('',(15.1,0.00999999999999986,8.99999978765845)); +#31324=CARTESIAN_POINT('Origin',(14.7,0.00999999999999987,8.99999978765845)); +#31325=CARTESIAN_POINT('Origin',(14.7,-1.38777878078145E-16,8.99999978765845)); +#31326=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,8.49999979883432)); +#31327=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,9.49999977648258)); +#31328=CARTESIAN_POINT('Origin',(14.7,0.,8.99999978765845)); +#31329=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,8.49999979883432)); +#31330=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,9.49999977648258)); +#31331=CARTESIAN_POINT('Origin',(14.7,-1.38777878078145E-16,13.0000002011657)); +#31332=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,12.5000007152557)); +#31333=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,13.4999996870756)); +#31334=CARTESIAN_POINT('Origin',(14.7,0.,13.0000002011657)); +#31335=CARTESIAN_POINT('',(14.7,0.00999999999999986,12.5000007152557)); +#31336=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,12.5000007152557)); +#31337=CARTESIAN_POINT('',(14.7,0.00999999999999986,13.4999996870756)); +#31338=CARTESIAN_POINT('Origin',(14.7,0.00999999999999986,13.0000002011657)); +#31339=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,13.4999996870756)); +#31340=CARTESIAN_POINT('Origin',(15.35,0.00999999999999986,13.0000002011657)); +#31341=CARTESIAN_POINT('',(15.99,0.00999999999999987,12.600125220703)); +#31342=CARTESIAN_POINT('',(15.99,0.00999999999999986,12.5000007152557)); +#31343=CARTESIAN_POINT('',(15.99,0.00999999999999987,12.9999999525025)); +#31344=CARTESIAN_POINT('',(15.99,0.00999999999999987,13.3998751816283)); +#31345=CARTESIAN_POINT('Origin',(16.,0.00999999999999987,13.0000002011657)); +#31346=CARTESIAN_POINT('',(15.99,0.00999999999999986,13.4999996870756)); +#31347=CARTESIAN_POINT('',(15.99,0.00999999999999987,12.9999999525025)); +#31348=CARTESIAN_POINT('',(14.7,0.00999999999999986,13.4999996870756)); +#31349=CARTESIAN_POINT('',(16.,0.00999999999999986,12.5000007152557)); +#31350=CARTESIAN_POINT('',(15.1,0.00999999999999986,13.0000002011657)); +#31351=CARTESIAN_POINT('Origin',(14.7,0.00999999999999987,13.0000002011657)); +#31352=CARTESIAN_POINT('Origin',(15.35,0.00999999999999986,4.99999987706542)); +#31353=CARTESIAN_POINT('',(15.99,0.00999999999999986,5.49999986588955)); +#31354=CARTESIAN_POINT('',(15.99,0.00999999999999987,5.39987485752807)); +#31355=CARTESIAN_POINT('',(15.99,0.00999999999999987,4.99999987706542)); +#31356=CARTESIAN_POINT('',(14.7,0.00999999999999986,5.49999986588955)); +#31357=CARTESIAN_POINT('',(14.7,0.00999999999999986,5.49999986588955)); +#31358=CARTESIAN_POINT('',(14.7,0.00999999999999986,4.49999988824129)); +#31359=CARTESIAN_POINT('Origin',(14.7,0.00999999999999986,4.99999987706542)); +#31360=CARTESIAN_POINT('',(15.99,0.00999999999999986,4.49999988824129)); +#31361=CARTESIAN_POINT('',(16.,0.00999999999999986,4.49999988824129)); +#31362=CARTESIAN_POINT('',(15.99,0.00999999999999987,4.60012489660278)); +#31363=CARTESIAN_POINT('',(15.99,0.00999999999999987,4.99999987706542)); +#31364=CARTESIAN_POINT('Origin',(16.,0.00999999999999987,4.99999987706542)); +#31365=CARTESIAN_POINT('',(15.1000012188993,0.00999999999999986,4.99999987706542)); +#31366=CARTESIAN_POINT('Origin',(14.7,0.00999999999999987,4.99999987706542)); +#31367=CARTESIAN_POINT('Origin',(14.7,-1.38777878078145E-16,4.99999987706542)); +#31368=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,4.49999988824129)); +#31369=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,5.49999986588955)); +#31370=CARTESIAN_POINT('Origin',(14.7,0.,4.99999987706542)); +#31371=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,4.49999988824129)); +#31372=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,5.49999986588955)); +#31373=CARTESIAN_POINT('Origin',(1.3,-1.38777878078145E-16,2.9999999217689)); +#31374=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,3.49999991059303)); +#31375=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,2.49999993294477)); +#31376=CARTESIAN_POINT('Origin',(1.3,0.,2.9999999217689)); +#31377=CARTESIAN_POINT('',(1.3,0.00999999999999986,3.49999991059303)); +#31378=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,3.49999991059303)); +#31379=CARTESIAN_POINT('',(1.3,0.00999999999999986,2.49999993294477)); +#31380=CARTESIAN_POINT('Origin',(1.3,0.00999999999999986,2.9999999217689)); +#31381=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,2.49999993294477)); +#31382=CARTESIAN_POINT('Origin',(0.65,0.00999999999999986,2.9999999217689)); +#31383=CARTESIAN_POINT('',(0.01,0.00999999999999987,3.39987490223155)); +#31384=CARTESIAN_POINT('',(0.01,0.00999999999999986,3.49999991059303)); +#31385=CARTESIAN_POINT('',(0.01,0.00999999999999987,2.9999999217689)); +#31386=CARTESIAN_POINT('',(0.01,0.00999999999999987,2.60012494130626)); +#31387=CARTESIAN_POINT('Origin',(0.,0.00999999999999987,2.9999999217689)); +#31388=CARTESIAN_POINT('',(0.01,0.00999999999999986,2.49999993294477)); +#31389=CARTESIAN_POINT('',(0.01,0.00999999999999987,2.9999999217689)); +#31390=CARTESIAN_POINT('',(1.3,0.00999999999999986,2.49999993294477)); +#31391=CARTESIAN_POINT('',(3.06161692943591E-17,0.00999999999999986,3.49999991059303)); +#31392=CARTESIAN_POINT('',(1.7,0.00999999999999986,2.9999999217689)); +#31393=CARTESIAN_POINT('Origin',(1.3,0.00999999999999987,2.9999999217689)); +#31394=CARTESIAN_POINT('Origin',(0.65,0.00999999999999986,11.0000001713634)); +#31395=CARTESIAN_POINT('',(0.01,0.00999999999999986,10.5000006109476)); +#31396=CARTESIAN_POINT('',(0.01,0.00999999999999987,10.6001251909007)); +#31397=CARTESIAN_POINT('',(0.01,0.00999999999999987,11.0000001713634)); +#31398=CARTESIAN_POINT('',(1.3,0.00999999999999986,10.5000006109476)); +#31399=CARTESIAN_POINT('',(1.3,0.00999999999999986,10.5000006109476)); +#31400=CARTESIAN_POINT('',(1.3,0.00999999999999986,11.4999997317791)); +#31401=CARTESIAN_POINT('Origin',(1.3,0.00999999999999986,11.0000001713634)); +#31402=CARTESIAN_POINT('',(0.01,0.00999999999999986,11.4999997317791)); +#31403=CARTESIAN_POINT('',(3.06161430619113E-17,0.00999999999999986,11.4999997317791)); +#31404=CARTESIAN_POINT('',(0.01,0.00999999999999987,11.399875151826)); +#31405=CARTESIAN_POINT('',(0.01,0.00999999999999987,11.0000001713634)); +#31406=CARTESIAN_POINT('Origin',(0.,0.00999999999999987,11.0000001713634)); +#31407=CARTESIAN_POINT('',(1.7,0.00999999999999986,11.0000001713634)); +#31408=CARTESIAN_POINT('Origin',(1.3,0.00999999999999987,11.0000001713634)); +#31409=CARTESIAN_POINT('Origin',(1.3,-1.38777878078145E-16,11.0000001713634)); +#31410=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,11.4999997317791)); +#31411=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,10.5000006109476)); +#31412=CARTESIAN_POINT('Origin',(1.3,0.,11.0000001713634)); +#31413=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,11.4999997317791)); +#31414=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,10.5000006109476)); +#31415=CARTESIAN_POINT('Origin',(1.3,-1.38777878078145E-16,8.99999978765845)); +#31416=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,9.49999977648258)); +#31417=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,8.49999979883432)); +#31418=CARTESIAN_POINT('Origin',(1.3,0.,8.99999978765845)); +#31419=CARTESIAN_POINT('',(1.3,0.00999999999999986,9.49999977648258)); +#31420=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,9.49999977648258)); +#31421=CARTESIAN_POINT('',(1.3,0.00999999999999986,8.49999979883432)); +#31422=CARTESIAN_POINT('Origin',(1.3,0.00999999999999986,8.99999978765845)); +#31423=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,8.49999979883432)); +#31424=CARTESIAN_POINT('Origin',(0.65,0.00999999999999986,8.99999978765845)); +#31425=CARTESIAN_POINT('',(0.01,0.00999999999999987,9.3998747681211)); +#31426=CARTESIAN_POINT('',(0.01,0.00999999999999986,9.49999977648258)); +#31427=CARTESIAN_POINT('',(0.01,0.00999999999999987,8.99999978765845)); +#31428=CARTESIAN_POINT('',(0.01,0.00999999999999987,8.60012480719581)); +#31429=CARTESIAN_POINT('Origin',(0.,0.00999999999999987,8.99999978765845)); +#31430=CARTESIAN_POINT('',(0.01,0.00999999999999986,8.49999979883432)); +#31431=CARTESIAN_POINT('',(0.01,0.00999999999999987,8.99999978765845)); +#31432=CARTESIAN_POINT('',(1.3,0.00999999999999986,8.49999979883432)); +#31433=CARTESIAN_POINT('',(3.06161692943591E-17,0.00999999999999986,9.49999977648258)); +#31434=CARTESIAN_POINT('',(1.7,0.00999999999999986,8.99999978765845)); +#31435=CARTESIAN_POINT('Origin',(1.3,0.00999999999999987,8.99999978765845)); +#31436=CARTESIAN_POINT('Origin',(0.65,0.00999999999999986,1.)); +#31437=CARTESIAN_POINT('',(0.01,0.00999999999999986,0.5)); +#31438=CARTESIAN_POINT('',(0.01,0.00999999999999987,0.600125019537356)); +#31439=CARTESIAN_POINT('',(0.01,0.00999999999999987,1.)); +#31440=CARTESIAN_POINT('',(1.3,0.00999999999999986,0.5)); +#31441=CARTESIAN_POINT('',(1.3,0.00999999999999986,0.5)); +#31442=CARTESIAN_POINT('',(1.3,0.00999999999999986,1.5)); +#31443=CARTESIAN_POINT('Origin',(1.3,0.00999999999999986,1.)); +#31444=CARTESIAN_POINT('',(0.01,0.00999999999999986,1.5)); +#31445=CARTESIAN_POINT('',(3.06161699786838E-17,0.00999999999999986,1.5)); +#31446=CARTESIAN_POINT('',(0.01,0.00999999999999987,1.39987498046264)); +#31447=CARTESIAN_POINT('',(0.01,0.00999999999999987,1.)); +#31448=CARTESIAN_POINT('Origin',(0.,0.00999999999999987,1.)); +#31449=CARTESIAN_POINT('',(1.7,0.00999999999999986,1.)); +#31450=CARTESIAN_POINT('Origin',(1.3,0.00999999999999987,1.)); +#31451=CARTESIAN_POINT('Origin',(1.3,-1.38777878078145E-16,1.)); +#31452=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,1.5)); +#31453=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,0.5)); +#31454=CARTESIAN_POINT('Origin',(1.3,0.,1.)); +#31455=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,1.5)); +#31456=CARTESIAN_POINT('',(1.3,-1.38777878078145E-16,0.5)); +#31457=CARTESIAN_POINT('Origin',(15.35,0.00999999999999986,6.99999983236194)); +#31458=CARTESIAN_POINT('',(15.99,0.00999999999999986,7.49999982118607)); +#31459=CARTESIAN_POINT('',(15.99,0.00999999999999987,7.39987481282458)); +#31460=CARTESIAN_POINT('',(15.99,0.00999999999999987,6.99999983236194)); +#31461=CARTESIAN_POINT('',(14.7,0.00999999999999986,7.49999982118607)); +#31462=CARTESIAN_POINT('',(14.7,0.00999999999999986,7.49999982118607)); +#31463=CARTESIAN_POINT('',(14.7,0.00999999999999986,6.49999984353781)); +#31464=CARTESIAN_POINT('Origin',(14.7,0.00999999999999986,6.99999983236194)); +#31465=CARTESIAN_POINT('',(15.99,0.00999999999999986,6.49999984353781)); +#31466=CARTESIAN_POINT('',(16.,0.00999999999999986,6.49999984353781)); +#31467=CARTESIAN_POINT('',(15.99,0.00999999999999987,6.60012485189929)); +#31468=CARTESIAN_POINT('',(15.99,0.00999999999999987,6.99999983236194)); +#31469=CARTESIAN_POINT('Origin',(16.,0.00999999999999987,6.99999983236194)); +#31470=CARTESIAN_POINT('',(15.1,0.00999999999999986,6.99999983236194)); +#31471=CARTESIAN_POINT('Origin',(14.7,0.00999999999999987,6.99999983236194)); +#31472=CARTESIAN_POINT('Origin',(14.7,-1.38777878078145E-16,6.99999983236194)); +#31473=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,6.49999984353781)); +#31474=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,7.49999982118607)); +#31475=CARTESIAN_POINT('Origin',(14.7,0.,6.99999983236194)); +#31476=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,6.49999984353781)); +#31477=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,7.49999982118607)); +#31478=CARTESIAN_POINT('Origin',(14.7,-1.38777878078145E-16,15.000000230968)); +#31479=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,14.5000008195639)); +#31480=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,15.4999996423721)); +#31481=CARTESIAN_POINT('Origin',(14.7,0.,15.000000230968)); +#31482=CARTESIAN_POINT('',(14.7,0.00999999999999986,14.5000008195639)); +#31483=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,14.5000008195639)); +#31484=CARTESIAN_POINT('',(14.7,0.00999999999999986,15.4999996423721)); +#31485=CARTESIAN_POINT('Origin',(14.7,0.00999999999999986,15.000000230968)); +#31486=CARTESIAN_POINT('',(14.7,-1.38777878078145E-16,15.4999996423721)); +#31487=CARTESIAN_POINT('Origin',(15.35,0.00999999999999986,15.000000230968)); +#31488=CARTESIAN_POINT('',(15.99,0.00999999999999987,14.6001252505054)); +#31489=CARTESIAN_POINT('',(15.99,0.00999999999999986,14.5000008195639)); +#31490=CARTESIAN_POINT('',(15.99,0.00999999999999987,14.999999945052)); +#31491=CARTESIAN_POINT('',(15.99,0.00999999999999987,15.3998752114306)); +#31492=CARTESIAN_POINT('Origin',(16.,0.00999999999999987,15.000000230968)); +#31493=CARTESIAN_POINT('',(15.99,0.00999999999999986,15.4999996423721)); +#31494=CARTESIAN_POINT('',(15.99,0.00999999999999987,14.999999945052)); +#31495=CARTESIAN_POINT('',(14.7,0.00999999999999986,15.4999996423721)); +#31496=CARTESIAN_POINT('',(16.,0.00999999999999986,14.5000008195639)); +#31497=CARTESIAN_POINT('',(15.1,0.00999999999999986,15.000000230968)); +#31498=CARTESIAN_POINT('Origin',(14.7,0.00999999999999987,15.000000230968)); +#31499=CARTESIAN_POINT('Origin',(16.,1.,11.4999997317791)); +#31500=CARTESIAN_POINT('',(15.99,0.99,11.4999997317791)); +#31501=CARTESIAN_POINT('',(15.99,0.,11.4999997317791)); +#31502=CARTESIAN_POINT('',(14.7,0.99,11.4999997317791)); +#31503=CARTESIAN_POINT('',(14.7,0.99,11.4999997317791)); +#31504=CARTESIAN_POINT('',(14.7,1.,11.4999997317791)); +#31505=CARTESIAN_POINT('',(14.7,1.,11.4999997317791)); +#31506=CARTESIAN_POINT('',(16.,1.,11.4999997317791)); +#31507=CARTESIAN_POINT('',(12.,1.,11.4999997317791)); +#31508=CARTESIAN_POINT('',(16.,0.,11.4999997317791)); +#31509=CARTESIAN_POINT('',(16.,0.5,11.4999997317791)); +#31510=CARTESIAN_POINT('',(12.,0.,11.4999997317791)); +#31511=CARTESIAN_POINT('Origin',(16.,1.,3.49999991059303)); +#31512=CARTESIAN_POINT('',(15.99,0.99,3.49999991059303)); +#31513=CARTESIAN_POINT('',(15.99,0.,3.49999991059303)); +#31514=CARTESIAN_POINT('',(14.7,0.99,3.49999991059303)); +#31515=CARTESIAN_POINT('',(14.7,0.99,3.49999991059303)); +#31516=CARTESIAN_POINT('',(14.7,1.,3.49999991059303)); +#31517=CARTESIAN_POINT('',(14.7,1.,3.49999991059303)); +#31518=CARTESIAN_POINT('',(16.,1.,3.49999991059303)); +#31519=CARTESIAN_POINT('',(12.,1.,3.49999991059303)); +#31520=CARTESIAN_POINT('',(16.,0.,3.49999991059303)); +#31521=CARTESIAN_POINT('',(16.,0.5,3.49999991059303)); +#31522=CARTESIAN_POINT('',(12.,0.,3.49999991059303)); +#31523=CARTESIAN_POINT('Origin',(15.99,0.5,2.9999999217689)); +#31524=CARTESIAN_POINT('',(15.99,0.99,3.39987490223155)); +#31525=CARTESIAN_POINT('',(15.99,1.,3.39987490223155)); +#31526=CARTESIAN_POINT('',(15.99,0.99,2.9999999217689)); +#31527=CARTESIAN_POINT('Origin',(16.,1.,2.9999999217689)); +#31528=CARTESIAN_POINT('',(15.99,0.99,2.60012494130626)); +#31529=CARTESIAN_POINT('',(15.99,1.,2.60012494130626)); +#31530=CARTESIAN_POINT('Origin',(16.,0.99,2.9999999217689)); +#31531=CARTESIAN_POINT('Origin',(15.99,0.5,2.9999999217689)); +#31532=CARTESIAN_POINT('',(15.99,0.99,2.49999994412065)); +#31533=CARTESIAN_POINT('',(15.99,1.,2.49999994412065)); +#31534=CARTESIAN_POINT('',(15.99,0.99,2.9999999217689)); +#31535=CARTESIAN_POINT('Origin',(0.,1.,4.49999988824129)); +#31536=CARTESIAN_POINT('',(0.01,0.99,4.49999988824129)); +#31537=CARTESIAN_POINT('',(0.01,0.,4.49999988824129)); +#31538=CARTESIAN_POINT('',(1.3,0.99,4.49999988824129)); +#31539=CARTESIAN_POINT('',(1.3,0.99,4.49999988824129)); +#31540=CARTESIAN_POINT('',(1.3,1.,4.49999988824129)); +#31541=CARTESIAN_POINT('',(1.3,1.,4.49999988824129)); +#31542=CARTESIAN_POINT('',(0.,1.,4.49999988824129)); +#31543=CARTESIAN_POINT('',(4.,1.,4.49999988824129)); +#31544=CARTESIAN_POINT('',(0.,0.,4.49999988824129)); +#31545=CARTESIAN_POINT('',(0.,0.5,4.49999988824129)); +#31546=CARTESIAN_POINT('',(4.,0.,4.49999988824129)); +#31547=CARTESIAN_POINT('Origin',(0.,1.,12.5000007152557)); +#31548=CARTESIAN_POINT('',(0.01,0.99,12.5000007152557)); +#31549=CARTESIAN_POINT('',(0.01,0.,12.5000007152557)); +#31550=CARTESIAN_POINT('',(1.3,0.99,12.5000007152557)); +#31551=CARTESIAN_POINT('',(1.3,0.99,12.5000007152557)); +#31552=CARTESIAN_POINT('',(1.3,1.,12.5000007152557)); +#31553=CARTESIAN_POINT('',(1.3,1.,12.5000007152557)); +#31554=CARTESIAN_POINT('',(0.,1.,12.5000007152557)); +#31555=CARTESIAN_POINT('',(4.,1.,12.5000007152557)); +#31556=CARTESIAN_POINT('',(0.,0.,12.5000007152557)); +#31557=CARTESIAN_POINT('',(0.,0.5,12.5000007152557)); +#31558=CARTESIAN_POINT('',(4.,0.,12.5000007152557)); +#31559=CARTESIAN_POINT('Origin',(0.,1.,6.49999984353781)); +#31560=CARTESIAN_POINT('',(0.01,0.99,6.49999984353781)); +#31561=CARTESIAN_POINT('',(0.01,0.,6.49999984353781)); +#31562=CARTESIAN_POINT('',(1.3,0.99,6.49999984353781)); +#31563=CARTESIAN_POINT('',(1.3,0.99,6.49999984353781)); +#31564=CARTESIAN_POINT('',(1.3,1.,6.49999984353781)); +#31565=CARTESIAN_POINT('',(1.3,1.,6.49999984353781)); +#31566=CARTESIAN_POINT('',(0.,1.,6.49999984353781)); +#31567=CARTESIAN_POINT('',(4.,1.,6.49999984353781)); +#31568=CARTESIAN_POINT('',(0.,0.,6.49999984353781)); +#31569=CARTESIAN_POINT('',(0.,0.5,6.49999984353781)); +#31570=CARTESIAN_POINT('',(4.,0.,6.49999984353781)); +#31571=CARTESIAN_POINT('Origin',(16.,1.,1.5)); +#31572=CARTESIAN_POINT('',(15.99,0.99,1.5)); +#31573=CARTESIAN_POINT('',(15.99,0.,1.5)); +#31574=CARTESIAN_POINT('',(14.7,0.99,1.5)); +#31575=CARTESIAN_POINT('',(14.7,0.99,1.5)); +#31576=CARTESIAN_POINT('',(14.7,1.,1.5)); +#31577=CARTESIAN_POINT('',(14.7,1.,1.5)); +#31578=CARTESIAN_POINT('',(16.,1.,1.5)); +#31579=CARTESIAN_POINT('',(12.,1.,1.5)); +#31580=CARTESIAN_POINT('',(16.,0.,1.5)); +#31581=CARTESIAN_POINT('',(16.,0.5,1.5)); +#31582=CARTESIAN_POINT('',(12.,0.,1.5)); +#31583=CARTESIAN_POINT('Origin',(16.,1.,9.49999977648258)); +#31584=CARTESIAN_POINT('',(15.99,0.99,9.49999977648258)); +#31585=CARTESIAN_POINT('',(15.99,0.,9.49999977648258)); +#31586=CARTESIAN_POINT('',(14.7,0.99,9.49999977648258)); +#31587=CARTESIAN_POINT('',(14.7,0.99,9.49999977648258)); +#31588=CARTESIAN_POINT('',(14.7,1.,9.49999977648258)); +#31589=CARTESIAN_POINT('',(14.7,1.,9.49999977648258)); +#31590=CARTESIAN_POINT('',(16.,1.,9.49999977648258)); +#31591=CARTESIAN_POINT('',(12.,1.,9.49999977648258)); +#31592=CARTESIAN_POINT('',(16.,0.,9.49999977648258)); +#31593=CARTESIAN_POINT('',(16.,0.5,9.49999977648258)); +#31594=CARTESIAN_POINT('',(12.,0.,9.49999977648258)); +#31595=CARTESIAN_POINT('Origin',(15.99,0.5,8.99999978765845)); +#31596=CARTESIAN_POINT('',(15.99,0.99,9.3998747681211)); +#31597=CARTESIAN_POINT('',(15.99,1.,9.3998747681211)); +#31598=CARTESIAN_POINT('',(15.99,0.99,8.99999978765845)); +#31599=CARTESIAN_POINT('Origin',(16.,1.,8.99999978765845)); +#31600=CARTESIAN_POINT('',(15.99,0.99,8.60012480719581)); +#31601=CARTESIAN_POINT('',(15.99,1.,8.60012480719581)); +#31602=CARTESIAN_POINT('Origin',(16.,0.99,8.99999978765845)); +#31603=CARTESIAN_POINT('Origin',(15.99,0.5,8.99999978765845)); +#31604=CARTESIAN_POINT('',(15.99,0.99,8.49999981001019)); +#31605=CARTESIAN_POINT('',(15.99,1.,8.49999981001019)); +#31606=CARTESIAN_POINT('',(15.99,0.99,8.99999978765845)); +#31607=CARTESIAN_POINT('Origin',(16.,1.,13.4999996870756)); +#31608=CARTESIAN_POINT('',(15.99,0.99,13.4999996870756)); +#31609=CARTESIAN_POINT('',(15.99,0.,13.4999996870756)); +#31610=CARTESIAN_POINT('',(14.7,0.99,13.4999996870756)); +#31611=CARTESIAN_POINT('',(14.7,0.99,13.4999996870756)); +#31612=CARTESIAN_POINT('',(14.7,1.,13.4999996870756)); +#31613=CARTESIAN_POINT('',(14.7,1.,13.4999996870756)); +#31614=CARTESIAN_POINT('',(16.,1.,13.4999996870756)); +#31615=CARTESIAN_POINT('',(12.,1.,13.4999996870756)); +#31616=CARTESIAN_POINT('',(16.,0.,13.4999996870756)); +#31617=CARTESIAN_POINT('',(16.,0.5,13.4999996870756)); +#31618=CARTESIAN_POINT('',(12.,0.,13.4999996870756)); +#31619=CARTESIAN_POINT('Origin',(16.,1.,5.49999986588955)); +#31620=CARTESIAN_POINT('',(15.99,0.99,5.49999986588955)); +#31621=CARTESIAN_POINT('',(15.99,0.,5.49999986588955)); +#31622=CARTESIAN_POINT('',(14.7,0.99,5.49999986588955)); +#31623=CARTESIAN_POINT('',(14.7,0.99,5.49999986588955)); +#31624=CARTESIAN_POINT('',(14.7,1.,5.49999986588955)); +#31625=CARTESIAN_POINT('',(14.7,1.,5.49999986588955)); +#31626=CARTESIAN_POINT('',(16.,1.,5.49999986588955)); +#31627=CARTESIAN_POINT('',(12.,1.,5.49999986588955)); +#31628=CARTESIAN_POINT('',(16.,0.,5.49999986588955)); +#31629=CARTESIAN_POINT('',(16.,0.5,5.49999986588955)); +#31630=CARTESIAN_POINT('',(12.,0.,5.49999986588955)); +#31631=CARTESIAN_POINT('Origin',(15.99,0.5,4.99999987706542)); +#31632=CARTESIAN_POINT('',(15.99,0.99,5.39987485752807)); +#31633=CARTESIAN_POINT('',(15.99,1.,5.39987485752807)); +#31634=CARTESIAN_POINT('',(15.99,0.99,4.99999987706542)); +#31635=CARTESIAN_POINT('Origin',(16.,1.,4.99999987706542)); +#31636=CARTESIAN_POINT('',(15.99,0.99,4.60012489660278)); +#31637=CARTESIAN_POINT('',(15.99,1.,4.60012489660278)); +#31638=CARTESIAN_POINT('Origin',(16.,0.99,4.99999987706542)); +#31639=CARTESIAN_POINT('Origin',(15.99,0.5,4.99999987706542)); +#31640=CARTESIAN_POINT('',(15.99,0.99,4.49999989941716)); +#31641=CARTESIAN_POINT('',(15.99,1.,4.49999989941716)); +#31642=CARTESIAN_POINT('',(15.99,0.99,4.99999987706542)); +#31643=CARTESIAN_POINT('Origin',(0.,1.,2.49999993294477)); +#31644=CARTESIAN_POINT('',(0.01,0.99,2.49999993294477)); +#31645=CARTESIAN_POINT('',(0.01,0.,2.49999993294477)); +#31646=CARTESIAN_POINT('',(1.3,0.99,2.49999993294477)); +#31647=CARTESIAN_POINT('',(1.3,0.99,2.49999993294477)); +#31648=CARTESIAN_POINT('',(1.3,1.,2.49999993294477)); +#31649=CARTESIAN_POINT('',(1.3,1.,2.49999993294477)); +#31650=CARTESIAN_POINT('',(0.,1.,2.49999993294477)); +#31651=CARTESIAN_POINT('',(4.,1.,2.49999993294477)); +#31652=CARTESIAN_POINT('',(0.,0.,2.49999993294477)); +#31653=CARTESIAN_POINT('',(0.,0.5,2.49999993294477)); +#31654=CARTESIAN_POINT('',(4.,0.,2.49999993294477)); +#31655=CARTESIAN_POINT('Origin',(0.,1.,10.5000006109476)); +#31656=CARTESIAN_POINT('',(0.01,0.99,10.5000006109476)); +#31657=CARTESIAN_POINT('',(0.01,0.,10.5000006109476)); +#31658=CARTESIAN_POINT('',(1.3,0.99,10.5000006109476)); +#31659=CARTESIAN_POINT('',(1.3,0.99,10.5000006109476)); +#31660=CARTESIAN_POINT('',(1.3,1.,10.5000006109476)); +#31661=CARTESIAN_POINT('',(1.3,1.,10.5000006109476)); +#31662=CARTESIAN_POINT('',(0.,1.,10.5000006109476)); +#31663=CARTESIAN_POINT('',(4.,1.,10.5000006109476)); +#31664=CARTESIAN_POINT('',(0.,0.,10.5000006109476)); +#31665=CARTESIAN_POINT('',(0.,0.5,10.5000006109476)); +#31666=CARTESIAN_POINT('',(4.,0.,10.5000006109476)); +#31667=CARTESIAN_POINT('Origin',(0.01,0.5,11.0000001713634)); +#31668=CARTESIAN_POINT('',(0.01,0.99,10.6001251909007)); +#31669=CARTESIAN_POINT('',(0.01,1.,10.6001251909007)); +#31670=CARTESIAN_POINT('',(0.01,0.99,11.0000001713634)); +#31671=CARTESIAN_POINT('Origin',(0.,1.,11.0000001713634)); +#31672=CARTESIAN_POINT('',(0.01,0.99,11.399875151826)); +#31673=CARTESIAN_POINT('',(0.01,1.,11.399875151826)); +#31674=CARTESIAN_POINT('Origin',(0.,0.99,11.0000001713634)); +#31675=CARTESIAN_POINT('Origin',(0.01,0.5,11.0000001713634)); +#31676=CARTESIAN_POINT('',(0.01,0.99,11.4999997317791)); +#31677=CARTESIAN_POINT('',(0.01,1.,11.4999997317791)); +#31678=CARTESIAN_POINT('',(0.01,0.99,11.0000001713634)); +#31679=CARTESIAN_POINT('Origin',(0.,1.,8.49999979883432)); +#31680=CARTESIAN_POINT('',(0.01,0.99,8.49999979883432)); +#31681=CARTESIAN_POINT('',(0.01,0.,8.49999979883432)); +#31682=CARTESIAN_POINT('',(1.3,0.99,8.49999979883432)); +#31683=CARTESIAN_POINT('',(1.3,0.99,8.49999979883432)); +#31684=CARTESIAN_POINT('',(1.3,1.,8.49999979883432)); +#31685=CARTESIAN_POINT('',(1.3,1.,8.49999979883432)); +#31686=CARTESIAN_POINT('',(0.,1.,8.49999979883432)); +#31687=CARTESIAN_POINT('',(4.,1.,8.49999979883432)); +#31688=CARTESIAN_POINT('',(0.,0.,8.49999979883432)); +#31689=CARTESIAN_POINT('',(0.,0.5,8.49999979883432)); +#31690=CARTESIAN_POINT('',(4.,0.,8.49999979883432)); +#31691=CARTESIAN_POINT('Origin',(0.,1.,0.5)); +#31692=CARTESIAN_POINT('',(0.01,0.99,0.5)); +#31693=CARTESIAN_POINT('',(0.01,0.,0.5)); +#31694=CARTESIAN_POINT('',(1.3,0.99,0.5)); +#31695=CARTESIAN_POINT('',(1.3,0.99,0.5)); +#31696=CARTESIAN_POINT('',(1.3,1.,0.5)); +#31697=CARTESIAN_POINT('',(1.3,1.,0.5)); +#31698=CARTESIAN_POINT('',(0.,1.,0.5)); +#31699=CARTESIAN_POINT('',(4.,1.,0.5)); +#31700=CARTESIAN_POINT('',(0.,0.,0.5)); +#31701=CARTESIAN_POINT('',(0.,0.5,0.5)); +#31702=CARTESIAN_POINT('',(4.,0.,0.5)); +#31703=CARTESIAN_POINT('Origin',(0.01,0.5,1.)); +#31704=CARTESIAN_POINT('',(0.01,0.99,0.600125019537356)); +#31705=CARTESIAN_POINT('',(0.01,1.,0.600125019537356)); +#31706=CARTESIAN_POINT('',(0.01,0.99,1.)); +#31707=CARTESIAN_POINT('Origin',(0.,1.,1.)); +#31708=CARTESIAN_POINT('',(0.01,0.99,1.39987498046264)); +#31709=CARTESIAN_POINT('',(0.01,1.,1.39987498046264)); +#31710=CARTESIAN_POINT('Origin',(0.,0.99,1.)); +#31711=CARTESIAN_POINT('Origin',(0.01,0.5,1.)); +#31712=CARTESIAN_POINT('',(0.01,0.99,1.5)); +#31713=CARTESIAN_POINT('',(0.01,1.,1.5)); +#31714=CARTESIAN_POINT('',(0.01,0.99,1.)); +#31715=CARTESIAN_POINT('Origin',(16.,1.,7.49999982118607)); +#31716=CARTESIAN_POINT('',(15.99,0.99,7.49999982118607)); +#31717=CARTESIAN_POINT('',(15.99,0.,7.49999982118607)); +#31718=CARTESIAN_POINT('',(14.7,0.99,7.49999982118607)); +#31719=CARTESIAN_POINT('',(14.7,0.99,7.49999982118607)); +#31720=CARTESIAN_POINT('',(14.7,1.,7.49999982118607)); +#31721=CARTESIAN_POINT('',(14.7,1.,7.49999982118607)); +#31722=CARTESIAN_POINT('',(16.,1.,7.49999982118607)); +#31723=CARTESIAN_POINT('',(12.,1.,7.49999982118607)); +#31724=CARTESIAN_POINT('',(16.,0.,7.49999982118607)); +#31725=CARTESIAN_POINT('',(16.,0.5,7.49999982118606)); +#31726=CARTESIAN_POINT('',(12.,0.,7.49999982118607)); +#31727=CARTESIAN_POINT('Origin',(15.99,0.5,6.99999983236194)); +#31728=CARTESIAN_POINT('',(15.99,0.99,7.39987481282458)); +#31729=CARTESIAN_POINT('',(15.99,1.,7.39987481282458)); +#31730=CARTESIAN_POINT('',(15.99,0.99,6.99999983236194)); +#31731=CARTESIAN_POINT('Origin',(16.,1.,6.99999983236194)); +#31732=CARTESIAN_POINT('',(15.99,0.99,6.60012485189929)); +#31733=CARTESIAN_POINT('',(15.99,1.,6.60012485189929)); +#31734=CARTESIAN_POINT('Origin',(16.,0.99,6.99999983236194)); +#31735=CARTESIAN_POINT('Origin',(15.99,0.5,6.99999983236194)); +#31736=CARTESIAN_POINT('',(15.99,0.99,6.49999985471368)); +#31737=CARTESIAN_POINT('',(15.99,1.,6.49999985471368)); +#31738=CARTESIAN_POINT('',(15.99,0.99,6.99999983236194)); +#31739=CARTESIAN_POINT('Origin',(8.,0.,8.)); +#31740=CARTESIAN_POINT('',(0.,0.,13.4999996870756)); +#31741=CARTESIAN_POINT('',(0.,0.,16.)); +#31742=CARTESIAN_POINT('',(4.,0.,13.4999996870756)); +#31743=CARTESIAN_POINT('',(0.,0.,11.4999997317791)); +#31744=CARTESIAN_POINT('',(0.,0.,16.)); +#31745=CARTESIAN_POINT('',(4.,0.,11.4999997317791)); +#31746=CARTESIAN_POINT('',(0.,0.,9.49999977648258)); +#31747=CARTESIAN_POINT('',(0.,0.,16.)); +#31748=CARTESIAN_POINT('',(4.,0.,9.49999977648258)); +#31749=CARTESIAN_POINT('',(0.,0.,7.49999982118607)); +#31750=CARTESIAN_POINT('',(0.,0.,16.)); +#31751=CARTESIAN_POINT('',(4.,0.,7.49999982118607)); +#31752=CARTESIAN_POINT('',(0.,0.,5.49999986588955)); +#31753=CARTESIAN_POINT('',(0.,0.,16.)); +#31754=CARTESIAN_POINT('',(4.,0.,5.49999986588955)); +#31755=CARTESIAN_POINT('',(0.,0.,3.49999991059303)); +#31756=CARTESIAN_POINT('',(0.,0.,16.)); +#31757=CARTESIAN_POINT('',(4.,0.,3.49999991059303)); +#31758=CARTESIAN_POINT('',(0.,0.,1.5)); +#31759=CARTESIAN_POINT('',(0.,0.,16.)); +#31760=CARTESIAN_POINT('',(4.,0.,1.5)); +#31761=CARTESIAN_POINT('',(0.,0.,0.)); +#31762=CARTESIAN_POINT('',(0.,0.,16.)); +#31763=CARTESIAN_POINT('',(16.,0.,0.)); +#31764=CARTESIAN_POINT('',(0.,0.,0.)); +#31765=CARTESIAN_POINT('',(16.,0.,0.499999988824129)); +#31766=CARTESIAN_POINT('',(16.,0.,0.)); +#31767=CARTESIAN_POINT('',(12.,0.,0.499999988824129)); +#31768=CARTESIAN_POINT('',(16.,0.,2.49999994412065)); +#31769=CARTESIAN_POINT('',(16.,0.,0.)); +#31770=CARTESIAN_POINT('',(12.,0.,2.49999994412065)); +#31771=CARTESIAN_POINT('',(16.,0.,4.49999989941716)); +#31772=CARTESIAN_POINT('',(16.,0.,0.)); +#31773=CARTESIAN_POINT('',(12.,0.,4.49999989941716)); +#31774=CARTESIAN_POINT('',(16.,0.,6.49999985471368)); +#31775=CARTESIAN_POINT('',(16.,0.,0.)); +#31776=CARTESIAN_POINT('',(12.,0.,6.49999985471368)); +#31777=CARTESIAN_POINT('',(16.,0.,8.49999981001019)); +#31778=CARTESIAN_POINT('',(16.,0.,0.)); +#31779=CARTESIAN_POINT('',(12.,0.,8.49999981001019)); +#31780=CARTESIAN_POINT('',(16.,0.,10.4999997653067)); +#31781=CARTESIAN_POINT('',(16.,0.,0.)); +#31782=CARTESIAN_POINT('',(12.,0.,10.4999997653067)); +#31783=CARTESIAN_POINT('',(16.,0.,12.4999997206032)); +#31784=CARTESIAN_POINT('',(16.,0.,0.)); +#31785=CARTESIAN_POINT('',(12.,0.,12.4999997206032)); +#31786=CARTESIAN_POINT('',(16.,0.,14.4999996758997)); +#31787=CARTESIAN_POINT('',(16.,0.,0.)); +#31788=CARTESIAN_POINT('',(12.,0.,14.4999996758997)); +#31789=CARTESIAN_POINT('',(16.,0.,15.4999996423721)); +#31790=CARTESIAN_POINT('',(12.,0.,15.4999996423721)); +#31791=CARTESIAN_POINT('',(16.,0.,16.)); +#31792=CARTESIAN_POINT('',(16.,0.,0.)); +#31793=CARTESIAN_POINT('',(0.,0.,16.)); +#31794=CARTESIAN_POINT('',(16.,0.,16.)); +#31795=CARTESIAN_POINT('',(0.,0.,16.)); +#31796=CARTESIAN_POINT('Origin',(16.,1.,15.4999996423721)); +#31797=CARTESIAN_POINT('',(15.99,0.99,15.4999996423721)); +#31798=CARTESIAN_POINT('',(15.99,0.,15.4999996423721)); +#31799=CARTESIAN_POINT('',(14.7,0.99,15.4999996423721)); +#31800=CARTESIAN_POINT('',(14.7,0.99,15.4999996423721)); +#31801=CARTESIAN_POINT('',(14.7,1.,15.4999996423721)); +#31802=CARTESIAN_POINT('',(14.7,1.,15.4999996423721)); +#31803=CARTESIAN_POINT('',(16.,1.,15.4999996423721)); +#31804=CARTESIAN_POINT('',(12.,1.,15.4999996423721)); +#31805=CARTESIAN_POINT('',(16.,0.5,15.4999996423721)); +#31806=CARTESIAN_POINT('Origin',(14.7,1.,2.9999999217689)); +#31807=CARTESIAN_POINT('',(15.1,0.99,2.9999999217689)); +#31808=CARTESIAN_POINT('Origin',(14.7,0.99,2.9999999217689)); +#31809=CARTESIAN_POINT('',(15.1,1.,2.9999999217689)); +#31810=CARTESIAN_POINT('Origin',(16.,1.,11.0000001713634)); +#31811=CARTESIAN_POINT('',(15.99,0.99,10.6001251909007)); +#31812=CARTESIAN_POINT('',(15.99,1.,10.6001251909007)); +#31813=CARTESIAN_POINT('',(15.99,0.99,11.399875151826)); +#31814=CARTESIAN_POINT('Origin',(16.,0.99,11.0000001713634)); +#31815=CARTESIAN_POINT('',(15.99,1.,11.399875151826)); +#31816=CARTESIAN_POINT('Origin',(16.,1.,15.000000230968)); +#31817=CARTESIAN_POINT('',(15.99,0.99,14.6001252505054)); +#31818=CARTESIAN_POINT('',(15.99,1.,14.6001252505054)); +#31819=CARTESIAN_POINT('',(15.99,0.99,15.3998752114306)); +#31820=CARTESIAN_POINT('Origin',(16.,0.99,15.000000230968)); +#31821=CARTESIAN_POINT('',(15.99,1.,15.3998752114306)); +#31822=CARTESIAN_POINT('Origin',(0.,1.,13.0000002011657)); +#31823=CARTESIAN_POINT('',(0.01,0.99,13.3998751816283)); +#31824=CARTESIAN_POINT('',(0.01,1.,13.3998751816283)); +#31825=CARTESIAN_POINT('',(0.01,0.99,12.600125220703)); +#31826=CARTESIAN_POINT('Origin',(0.,0.99,13.0000002011657)); +#31827=CARTESIAN_POINT('',(0.01,1.,12.600125220703)); +#31828=CARTESIAN_POINT('Origin',(0.,1.,8.99999978765845)); +#31829=CARTESIAN_POINT('',(0.01,0.99,9.3998747681211)); +#31830=CARTESIAN_POINT('',(0.01,1.,9.3998747681211)); +#31831=CARTESIAN_POINT('',(0.01,0.99,8.60012480719581)); +#31832=CARTESIAN_POINT('Origin',(0.,0.99,8.99999978765845)); +#31833=CARTESIAN_POINT('',(0.01,1.,8.60012480719581)); +#31834=CARTESIAN_POINT('Origin',(1.3,1.,4.99999987706542)); +#31835=CARTESIAN_POINT('',(1.7,0.99,4.99999987706542)); +#31836=CARTESIAN_POINT('Origin',(1.3,0.99,4.99999987706542)); +#31837=CARTESIAN_POINT('',(1.7,1.,4.99999987706542)); +#31838=CARTESIAN_POINT('Origin',(0.,1.,2.9999999217689)); +#31839=CARTESIAN_POINT('',(0.01,0.99,3.39987490223155)); +#31840=CARTESIAN_POINT('',(0.01,1.,3.39987490223155)); +#31841=CARTESIAN_POINT('',(0.01,0.99,2.60012494130626)); +#31842=CARTESIAN_POINT('Origin',(0.,0.99,2.9999999217689)); +#31843=CARTESIAN_POINT('',(0.01,1.,2.60012494130626)); +#31844=CARTESIAN_POINT('Origin',(1.3,1.,6.99999983236194)); +#31845=CARTESIAN_POINT('',(1.7,0.99,6.99999983236194)); +#31846=CARTESIAN_POINT('Origin',(1.3,0.99,6.99999983236194)); +#31847=CARTESIAN_POINT('',(1.7,1.,6.99999983236194)); +#31848=CARTESIAN_POINT('Origin',(1.3,1.,11.0000001713634)); +#31849=CARTESIAN_POINT('',(1.7,0.99,11.0000001713634)); +#31850=CARTESIAN_POINT('Origin',(1.3,0.99,11.0000001713634)); +#31851=CARTESIAN_POINT('',(1.7,1.,11.0000001713634)); +#31852=CARTESIAN_POINT('Origin',(1.3,1.,15.000000230968)); +#31853=CARTESIAN_POINT('',(1.7,0.99,15.000000230968)); +#31854=CARTESIAN_POINT('Origin',(1.3,0.99,15.000000230968)); +#31855=CARTESIAN_POINT('',(1.7,1.,15.000000230968)); +#31856=CARTESIAN_POINT('Origin',(16.,1.,13.0000002011657)); +#31857=CARTESIAN_POINT('',(15.99,0.99,12.600125220703)); +#31858=CARTESIAN_POINT('',(15.99,1.,12.600125220703)); +#31859=CARTESIAN_POINT('',(15.99,0.99,13.3998751816283)); +#31860=CARTESIAN_POINT('Origin',(16.,0.99,13.0000002011657)); +#31861=CARTESIAN_POINT('',(15.99,1.,13.3998751816283)); +#31862=CARTESIAN_POINT('Origin',(14.7,1.,4.99999987706542)); +#31863=CARTESIAN_POINT('',(15.1000012188993,0.99,4.99999987706542)); +#31864=CARTESIAN_POINT('Origin',(14.7,0.99,4.99999987706542)); +#31865=CARTESIAN_POINT('',(15.1000012188993,1.,4.99999987706542)); +#31866=CARTESIAN_POINT('Origin',(14.7,1.,1.)); +#31867=CARTESIAN_POINT('',(15.1,0.99,1.)); +#31868=CARTESIAN_POINT('Origin',(14.7,0.99,1.)); +#31869=CARTESIAN_POINT('',(15.1,1.,1.)); +#31870=CARTESIAN_POINT('Origin',(14.7,1.,6.99999983236194)); +#31871=CARTESIAN_POINT('',(15.1,0.99,6.99999983236194)); +#31872=CARTESIAN_POINT('Origin',(14.7,0.99,6.99999983236194)); +#31873=CARTESIAN_POINT('',(15.1,1.,6.99999983236194)); +#31874=CARTESIAN_POINT('Origin',(14.7,1.,11.0000001713634)); +#31875=CARTESIAN_POINT('',(15.1,0.99,11.0000001713634)); +#31876=CARTESIAN_POINT('Origin',(14.7,0.99,11.0000001713634)); +#31877=CARTESIAN_POINT('',(15.1,1.,11.0000001713634)); +#31878=CARTESIAN_POINT('Origin',(14.7,1.,15.000000230968)); +#31879=CARTESIAN_POINT('',(15.1,0.99,15.000000230968)); +#31880=CARTESIAN_POINT('Origin',(14.7,0.99,15.000000230968)); +#31881=CARTESIAN_POINT('',(15.1,1.,15.000000230968)); +#31882=CARTESIAN_POINT('Origin',(1.3,1.,13.0000002011657)); +#31883=CARTESIAN_POINT('',(1.7,0.99,13.0000002011657)); +#31884=CARTESIAN_POINT('Origin',(1.3,0.99,13.0000002011657)); +#31885=CARTESIAN_POINT('',(1.7,1.,13.0000002011657)); +#31886=CARTESIAN_POINT('Origin',(1.3,1.,8.99999978765845)); +#31887=CARTESIAN_POINT('',(1.7,0.99,8.99999978765845)); +#31888=CARTESIAN_POINT('Origin',(1.3,0.99,8.99999978765845)); +#31889=CARTESIAN_POINT('',(1.7,1.,8.99999978765845)); +#31890=CARTESIAN_POINT('Origin',(0.,1.,4.99999987706542)); +#31891=CARTESIAN_POINT('',(0.01,0.99,5.39987607680843)); +#31892=CARTESIAN_POINT('',(0.01,1.,5.39987607680843)); +#31893=CARTESIAN_POINT('',(0.01,0.99,4.60012367732242)); +#31894=CARTESIAN_POINT('Origin',(0.,0.99,4.99999987706542)); +#31895=CARTESIAN_POINT('',(0.01,1.,4.60012367732242)); +#31896=CARTESIAN_POINT('Origin',(1.3,1.,1.)); +#31897=CARTESIAN_POINT('',(1.7,0.99,1.)); +#31898=CARTESIAN_POINT('Origin',(1.3,0.99,1.)); +#31899=CARTESIAN_POINT('',(1.7,1.,1.)); +#31900=CARTESIAN_POINT('Origin',(1.3,1.,2.9999999217689)); +#31901=CARTESIAN_POINT('',(1.7,0.99,2.9999999217689)); +#31902=CARTESIAN_POINT('Origin',(1.3,0.99,2.9999999217689)); +#31903=CARTESIAN_POINT('',(1.7,1.,2.9999999217689)); +#31904=CARTESIAN_POINT('Origin',(0.,1.,6.99999983236194)); +#31905=CARTESIAN_POINT('',(0.01,0.99,7.39987481282458)); +#31906=CARTESIAN_POINT('',(0.01,1.,7.39987481282458)); +#31907=CARTESIAN_POINT('',(0.01,0.99,6.60012485189929)); +#31908=CARTESIAN_POINT('Origin',(0.,0.99,6.99999983236194)); +#31909=CARTESIAN_POINT('',(0.01,1.,6.60012485189929)); +#31910=CARTESIAN_POINT('Origin',(14.7,1.,13.0000002011657)); +#31911=CARTESIAN_POINT('',(15.1,0.99,13.0000002011657)); +#31912=CARTESIAN_POINT('Origin',(14.7,0.99,13.0000002011657)); +#31913=CARTESIAN_POINT('',(15.1,1.,13.0000002011657)); +#31914=CARTESIAN_POINT('Origin',(14.7,1.,8.99999978765845)); +#31915=CARTESIAN_POINT('',(15.1,0.99,8.99999978765845)); +#31916=CARTESIAN_POINT('Origin',(14.7,0.99,8.99999978765845)); +#31917=CARTESIAN_POINT('',(15.1,1.,8.99999978765845)); +#31918=CARTESIAN_POINT('Origin',(16.,1.,1.)); +#31919=CARTESIAN_POINT('',(15.99,0.99,0.600125019537356)); +#31920=CARTESIAN_POINT('',(15.99,1.,0.600125019537356)); +#31921=CARTESIAN_POINT('',(15.99,0.99,1.39987498046264)); +#31922=CARTESIAN_POINT('Origin',(16.,0.99,1.)); +#31923=CARTESIAN_POINT('',(15.99,1.,1.39987498046264)); +#31924=CARTESIAN_POINT('Origin',(15.99,0.495,10.9999997485429)); +#31925=CARTESIAN_POINT('',(15.99,0.99,10.4999997653067)); +#31926=CARTESIAN_POINT('',(15.99,0.99,10.4999997653067)); +#31927=CARTESIAN_POINT('',(15.99,0.99,10.9999999599531)); +#31928=CARTESIAN_POINT('Origin',(15.99,0.495,10.9999997485429)); +#31929=CARTESIAN_POINT('',(15.99,0.99,10.9999999599531)); +#31930=CARTESIAN_POINT('Origin',(15.99,0.5,14.9999996591359)); +#31931=CARTESIAN_POINT('',(15.99,0.99,14.999999945052)); +#31932=CARTESIAN_POINT('Origin',(15.99,0.5,14.9999996591359)); +#31933=CARTESIAN_POINT('',(15.99,0.99,14.4999996758997)); +#31934=CARTESIAN_POINT('',(15.99,1.,14.4999996758997)); +#31935=CARTESIAN_POINT('',(15.99,0.99,14.999999945052)); +#31936=CARTESIAN_POINT('Origin',(0.01,0.5,13.0000002011657)); +#31937=CARTESIAN_POINT('',(0.01,0.99,13.4999996870756)); +#31938=CARTESIAN_POINT('',(0.01,1.,13.4999996870756)); +#31939=CARTESIAN_POINT('',(0.01,0.99,13.0000002011657)); +#31940=CARTESIAN_POINT('Origin',(0.01,0.5,13.0000002011657)); +#31941=CARTESIAN_POINT('',(0.01,0.99,13.0000002011657)); +#31942=CARTESIAN_POINT('Origin',(0.01,0.5,8.99999978765845)); +#31943=CARTESIAN_POINT('',(0.01,0.99,9.49999977648258)); +#31944=CARTESIAN_POINT('',(0.01,1.,9.49999977648258)); +#31945=CARTESIAN_POINT('',(0.01,0.99,8.99999978765845)); +#31946=CARTESIAN_POINT('Origin',(0.01,0.5,8.99999978765845)); +#31947=CARTESIAN_POINT('',(0.01,0.99,8.99999978765845)); +#31948=CARTESIAN_POINT('Origin',(0.01,0.5,2.9999999217689)); +#31949=CARTESIAN_POINT('',(0.01,0.99,3.49999991059303)); +#31950=CARTESIAN_POINT('',(0.01,1.,3.49999991059303)); +#31951=CARTESIAN_POINT('',(0.01,0.99,2.9999999217689)); +#31952=CARTESIAN_POINT('Origin',(0.01,0.5,2.9999999217689)); +#31953=CARTESIAN_POINT('',(0.01,0.99,2.9999999217689)); +#31954=CARTESIAN_POINT('Origin',(15.99,0.5,12.9999997038394)); +#31955=CARTESIAN_POINT('',(15.99,0.99,12.9999999525025)); +#31956=CARTESIAN_POINT('Origin',(15.99,0.5,12.9999997038394)); +#31957=CARTESIAN_POINT('',(15.99,0.99,12.4999997206032)); +#31958=CARTESIAN_POINT('',(15.99,1.,12.4999997206032)); +#31959=CARTESIAN_POINT('',(15.99,0.99,12.9999999525025)); +#31960=CARTESIAN_POINT('Origin',(0.01,0.5,4.99999987706542)); +#31961=CARTESIAN_POINT('',(0.01,0.99,5.49999986588955)); +#31962=CARTESIAN_POINT('',(0.01,1.,5.49999986588955)); +#31963=CARTESIAN_POINT('',(0.01,0.99,4.99999987706542)); +#31964=CARTESIAN_POINT('Origin',(0.01,0.5,4.99999987706542)); +#31965=CARTESIAN_POINT('',(0.01,0.99,4.99999987706542)); +#31966=CARTESIAN_POINT('Origin',(0.01,0.5,6.99999983236194)); +#31967=CARTESIAN_POINT('',(0.01,0.99,7.49999982118607)); +#31968=CARTESIAN_POINT('',(0.01,1.,7.49999982118607)); +#31969=CARTESIAN_POINT('',(0.01,0.99,6.99999983236194)); +#31970=CARTESIAN_POINT('Origin',(0.01,0.5,6.99999983236194)); +#31971=CARTESIAN_POINT('',(0.01,0.99,6.99999983236194)); +#31972=CARTESIAN_POINT('Origin',(15.99,0.5,0.999999994412065)); +#31973=CARTESIAN_POINT('',(15.99,0.99,0.999999997206032)); +#31974=CARTESIAN_POINT('Origin',(15.99,0.5,0.999999994412065)); +#31975=CARTESIAN_POINT('',(15.99,0.99,0.499999988824129)); +#31976=CARTESIAN_POINT('',(15.99,1.,0.499999988824129)); +#31977=CARTESIAN_POINT('',(15.99,0.99,0.999999997206032)); +#31978=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#31979=CARTESIAN_POINT('',(0.,1.,0.)); +#31980=CARTESIAN_POINT('',(0.,1.,16.)); +#31981=CARTESIAN_POINT('',(0.,0.,0.)); +#31982=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#31983=CARTESIAN_POINT('',(0.,1.,16.)); +#31984=CARTESIAN_POINT('',(0.,0.,16.)); +#31985=CARTESIAN_POINT('',(0.,1.,16.)); +#31986=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#31987=CARTESIAN_POINT('',(3.06161692943591E-17,1.,7.49999982118607)); +#31988=CARTESIAN_POINT('',(0.,0.5,7.49999982118607)); +#31989=CARTESIAN_POINT('',(0.,1.,16.)); +#31990=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#31991=CARTESIAN_POINT('',(3.06161699786838E-17,1.,1.5)); +#31992=CARTESIAN_POINT('',(0.,1.,16.)); +#31993=CARTESIAN_POINT('',(0.,0.5,1.5)); +#31994=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#31995=CARTESIAN_POINT('',(3.06161692943591E-17,1.,9.49999977648258)); +#31996=CARTESIAN_POINT('',(0.,1.,16.)); +#31997=CARTESIAN_POINT('',(0.,0.5,9.49999977648258)); +#31998=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#31999=CARTESIAN_POINT('',(3.06161384997464E-17,1.,13.4999996870756)); +#32000=CARTESIAN_POINT('',(0.,0.5,13.4999996870756)); +#32001=CARTESIAN_POINT('',(0.,1.,16.)); +#32002=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#32003=CARTESIAN_POINT('',(3.06161430619113E-17,1.,11.4999997317791)); +#32004=CARTESIAN_POINT('',(0.,1.,16.)); +#32005=CARTESIAN_POINT('',(0.,0.5,11.4999997317791)); +#32006=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#32007=CARTESIAN_POINT('',(3.06161692943591E-17,1.,5.49999986588955)); +#32008=CARTESIAN_POINT('',(0.,0.5,5.49999986588955)); +#32009=CARTESIAN_POINT('',(0.,1.,16.)); +#32010=CARTESIAN_POINT('Origin',(16.,0.,16.)); +#32011=CARTESIAN_POINT('',(16.,1.,0.5)); +#32012=CARTESIAN_POINT('',(16.,0.5,0.5)); +#32013=CARTESIAN_POINT('',(16.,1.,0.)); +#32014=CARTESIAN_POINT('',(16.,0.,0.)); +#32015=CARTESIAN_POINT('',(16.,1.,0.)); +#32016=CARTESIAN_POINT('Origin',(16.,0.,16.)); +#32017=CARTESIAN_POINT('',(16.,1.,16.)); +#32018=CARTESIAN_POINT('',(16.,1.,0.)); +#32019=CARTESIAN_POINT('',(16.,0.,16.)); +#32020=CARTESIAN_POINT('Origin',(16.,0.,16.)); +#32021=CARTESIAN_POINT('',(16.,1.,8.49999979883432)); +#32022=CARTESIAN_POINT('',(16.,1.,0.)); +#32023=CARTESIAN_POINT('',(16.,0.5,8.49999979883432)); +#32024=CARTESIAN_POINT('Origin',(16.,0.,16.)); +#32025=CARTESIAN_POINT('',(16.,1.,2.49999993294477)); +#32026=CARTESIAN_POINT('',(16.,0.5,2.49999993294477)); +#32027=CARTESIAN_POINT('',(16.,1.,0.)); +#32028=CARTESIAN_POINT('Origin',(16.,0.,16.)); +#32029=CARTESIAN_POINT('',(16.,1.,10.5000006109476)); +#32030=CARTESIAN_POINT('',(16.,0.5,10.5000006109476)); +#32031=CARTESIAN_POINT('',(16.,1.,0.)); +#32032=CARTESIAN_POINT('Origin',(16.,0.,16.)); +#32033=CARTESIAN_POINT('',(16.,1.,12.5000007152557)); +#32034=CARTESIAN_POINT('',(16.,0.5,12.5000007152557)); +#32035=CARTESIAN_POINT('',(16.,1.,0.)); +#32036=CARTESIAN_POINT('Origin',(16.,0.,16.)); +#32037=CARTESIAN_POINT('',(16.,1.,14.5000008195639)); +#32038=CARTESIAN_POINT('',(16.,1.,0.)); +#32039=CARTESIAN_POINT('',(16.,0.5,14.5000008195639)); +#32040=CARTESIAN_POINT('Origin',(16.,0.,16.)); +#32041=CARTESIAN_POINT('',(16.,1.,4.49999988824129)); +#32042=CARTESIAN_POINT('',(16.,0.5,4.49999988824129)); +#32043=CARTESIAN_POINT('',(16.,1.,0.)); +#32044=CARTESIAN_POINT('Origin',(1.3,1.,5.49999986588955)); +#32045=CARTESIAN_POINT('',(1.3,1.,5.49999986588955)); +#32046=CARTESIAN_POINT('',(4.65,1.,5.49999986588955)); +#32047=CARTESIAN_POINT('',(1.3,0.99,5.49999986588955)); +#32048=CARTESIAN_POINT('',(1.3,1.,5.49999986588955)); +#32049=CARTESIAN_POINT('',(3.06161692943591E-17,0.99,5.49999986588955)); +#32050=CARTESIAN_POINT('Origin',(1.3,1.,4.99999987706542)); +#32051=CARTESIAN_POINT('Origin',(1.3,1.,4.99999987706542)); +#32052=CARTESIAN_POINT('Origin',(1.3,0.99,4.99999987706542)); +#32053=CARTESIAN_POINT('Origin',(0.899999994412064,0.99,4.99999987706542)); +#32054=CARTESIAN_POINT('Origin',(1.3,1.,13.4999996870756)); +#32055=CARTESIAN_POINT('',(1.3,1.,13.4999996870756)); +#32056=CARTESIAN_POINT('',(4.65,1.,13.4999996870756)); +#32057=CARTESIAN_POINT('',(1.3,0.99,13.4999996870756)); +#32058=CARTESIAN_POINT('',(1.3,1.,13.4999996870756)); +#32059=CARTESIAN_POINT('',(3.06161384997464E-17,0.99,13.4999996870756)); +#32060=CARTESIAN_POINT('Origin',(1.3,1.,13.0000002011657)); +#32061=CARTESIAN_POINT('Origin',(1.3,1.,13.0000002011657)); +#32062=CARTESIAN_POINT('Origin',(1.3,0.99,13.0000002011657)); +#32063=CARTESIAN_POINT('Origin',(0.899999742954969,0.99,13.0000002011657)); +#32064=CARTESIAN_POINT('Origin',(14.7,1.,10.5000006109476)); +#32065=CARTESIAN_POINT('',(14.7,1.,10.5000006109476)); +#32066=CARTESIAN_POINT('',(11.35,1.,10.5000006109476)); +#32067=CARTESIAN_POINT('',(14.7,0.99,10.5000006109476)); +#32068=CARTESIAN_POINT('',(14.7,1.,10.5000006109476)); +#32069=CARTESIAN_POINT('',(16.,0.99,10.5000006109476)); +#32070=CARTESIAN_POINT('Origin',(14.7,1.,11.0000001713634)); +#32071=CARTESIAN_POINT('Origin',(14.7,1.,11.0000001713634)); +#32072=CARTESIAN_POINT('Origin',(14.7,0.99,11.0000001713634)); +#32073=CARTESIAN_POINT('Origin',(15.1000002197921,0.99,11.0000001713634)); +#32074=CARTESIAN_POINT('Origin',(14.7,1.,2.49999993294477)); +#32075=CARTESIAN_POINT('',(14.7,1.,2.49999993294477)); +#32076=CARTESIAN_POINT('',(11.35,1.,2.49999993294477)); +#32077=CARTESIAN_POINT('',(14.7,0.99,2.49999993294477)); +#32078=CARTESIAN_POINT('',(14.7,1.,2.49999993294477)); +#32079=CARTESIAN_POINT('',(16.,0.99,2.49999993294477)); +#32080=CARTESIAN_POINT('Origin',(14.7,1.,2.9999999217689)); +#32081=CARTESIAN_POINT('Origin',(14.7,1.,2.9999999217689)); +#32082=CARTESIAN_POINT('Origin',(14.7,0.99,2.9999999217689)); +#32083=CARTESIAN_POINT('Origin',(15.1000000055879,0.99,2.9999999217689)); +#32084=CARTESIAN_POINT('Origin',(14.7,1.,4.99999987706542)); +#32085=CARTESIAN_POINT('',(14.7,1.,4.49999988824129)); +#32086=CARTESIAN_POINT('Origin',(14.7,1.,4.99999987706542)); +#32087=CARTESIAN_POINT('',(14.7,0.99,4.49999988824129)); +#32088=CARTESIAN_POINT('Origin',(14.7,0.99,4.99999987706542)); +#32089=CARTESIAN_POINT('',(14.7,1.,4.49999988824129)); +#32090=CARTESIAN_POINT('Origin',(14.7,1.,4.49999988824129)); +#32091=CARTESIAN_POINT('',(11.35,1.,4.49999988824129)); +#32092=CARTESIAN_POINT('',(16.,0.99,4.49999988824129)); +#32093=CARTESIAN_POINT('Origin',(15.1000000055879,0.99,4.99999987706542)); +#32094=CARTESIAN_POINT('Origin',(14.7,1.,12.5000007152557)); +#32095=CARTESIAN_POINT('',(14.7,1.,12.5000007152557)); +#32096=CARTESIAN_POINT('',(11.35,1.,12.5000007152557)); +#32097=CARTESIAN_POINT('',(14.7,0.99,12.5000007152557)); +#32098=CARTESIAN_POINT('',(14.7,1.,12.5000007152557)); +#32099=CARTESIAN_POINT('',(16.,0.99,12.5000007152557)); +#32100=CARTESIAN_POINT('Origin',(14.7,1.,13.0000002011657)); +#32101=CARTESIAN_POINT('Origin',(14.7,1.,13.0000002011657)); +#32102=CARTESIAN_POINT('Origin',(14.7,0.99,13.0000002011657)); +#32103=CARTESIAN_POINT('Origin',(15.100000257045,0.99,13.0000002011657)); +#32104=CARTESIAN_POINT('Origin',(1.3,1.,11.4999997317791)); +#32105=CARTESIAN_POINT('',(1.3,1.,11.4999997317791)); +#32106=CARTESIAN_POINT('',(4.65,1.,11.4999997317791)); +#32107=CARTESIAN_POINT('',(1.3,0.99,11.4999997317791)); +#32108=CARTESIAN_POINT('',(1.3,1.,11.4999997317791)); +#32109=CARTESIAN_POINT('',(3.06161430619113E-17,0.99,11.4999997317791)); +#32110=CARTESIAN_POINT('Origin',(1.3,1.,11.0000001713634)); +#32111=CARTESIAN_POINT('Origin',(1.3,1.,11.0000001713634)); +#32112=CARTESIAN_POINT('Origin',(1.3,0.99,11.0000001713634)); +#32113=CARTESIAN_POINT('Origin',(0.899999780207872,0.99,11.0000001713634)); +#32114=CARTESIAN_POINT('Origin',(1.3,1.,1.5)); +#32115=CARTESIAN_POINT('',(1.3,1.,1.5)); +#32116=CARTESIAN_POINT('',(4.65,1.,1.5)); +#32117=CARTESIAN_POINT('',(1.3,0.99,1.5)); +#32118=CARTESIAN_POINT('',(1.3,1.,1.5)); +#32119=CARTESIAN_POINT('',(3.06161699786838E-17,0.99,1.5)); +#32120=CARTESIAN_POINT('Origin',(1.3,1.,1.)); +#32121=CARTESIAN_POINT('Origin',(1.3,1.,1.)); +#32122=CARTESIAN_POINT('Origin',(1.3,0.99,1.)); +#32123=CARTESIAN_POINT('Origin',(0.9,0.99,1.)); +#32124=CARTESIAN_POINT('Origin',(1.3,1.,7.49999982118607)); +#32125=CARTESIAN_POINT('',(1.3,1.,7.49999982118607)); +#32126=CARTESIAN_POINT('',(4.65,1.,7.49999982118607)); +#32127=CARTESIAN_POINT('',(1.3,0.99,7.49999982118607)); +#32128=CARTESIAN_POINT('',(1.3,1.,7.49999982118607)); +#32129=CARTESIAN_POINT('',(3.06161692943591E-17,0.99,7.49999982118607)); +#32130=CARTESIAN_POINT('Origin',(1.3,1.,6.99999983236194)); +#32131=CARTESIAN_POINT('Origin',(1.3,1.,6.99999983236194)); +#32132=CARTESIAN_POINT('Origin',(1.3,0.99,6.99999983236194)); +#32133=CARTESIAN_POINT('Origin',(0.899999994412065,0.99,6.99999983236194)); +#32134=CARTESIAN_POINT('Origin',(1.3,1.,15.000000230968)); +#32135=CARTESIAN_POINT('Origin',(1.3,1.,15.000000230968)); +#32136=CARTESIAN_POINT('Origin',(1.3,0.99,15.000000230968)); +#32137=CARTESIAN_POINT('Origin',(0.899999705702066,0.99,15.000000230968)); +#32138=CARTESIAN_POINT('Origin',(14.7,1.,8.49999979883432)); +#32139=CARTESIAN_POINT('',(14.7,1.,8.49999979883432)); +#32140=CARTESIAN_POINT('',(11.35,1.,8.49999979883432)); +#32141=CARTESIAN_POINT('',(14.7,0.99,8.49999979883432)); +#32142=CARTESIAN_POINT('',(14.7,1.,8.49999979883432)); +#32143=CARTESIAN_POINT('',(16.,0.99,8.49999979883432)); +#32144=CARTESIAN_POINT('Origin',(14.7,1.,8.99999978765845)); +#32145=CARTESIAN_POINT('Origin',(14.7,1.,8.99999978765845)); +#32146=CARTESIAN_POINT('Origin',(14.7,0.99,8.99999978765845)); +#32147=CARTESIAN_POINT('Origin',(15.1000000055879,0.99,8.99999978765845)); +#32148=CARTESIAN_POINT('Origin',(14.7,1.,0.5)); +#32149=CARTESIAN_POINT('',(14.7,1.,0.5)); +#32150=CARTESIAN_POINT('',(11.35,1.,0.5)); +#32151=CARTESIAN_POINT('',(14.7,0.99,0.5)); +#32152=CARTESIAN_POINT('',(14.7,1.,0.5)); +#32153=CARTESIAN_POINT('',(16.,0.99,0.5)); +#32154=CARTESIAN_POINT('Origin',(14.7,1.,1.)); +#32155=CARTESIAN_POINT('Origin',(14.7,1.,1.)); +#32156=CARTESIAN_POINT('Origin',(14.7,0.99,1.)); +#32157=CARTESIAN_POINT('Origin',(15.1,0.99,1.)); +#32158=CARTESIAN_POINT('Origin',(14.7,1.,6.49999984353781)); +#32159=CARTESIAN_POINT('',(16.,1.,6.49999984353781)); +#32160=CARTESIAN_POINT('',(16.,0.5,6.49999984353781)); +#32161=CARTESIAN_POINT('',(14.7,1.,6.49999984353781)); +#32162=CARTESIAN_POINT('',(11.35,1.,6.49999984353781)); +#32163=CARTESIAN_POINT('',(14.7,0.99,6.49999984353781)); +#32164=CARTESIAN_POINT('',(14.7,1.,6.49999984353781)); +#32165=CARTESIAN_POINT('',(16.,0.99,6.49999984353781)); +#32166=CARTESIAN_POINT('Origin',(14.7,1.,6.99999983236194)); +#32167=CARTESIAN_POINT('Origin',(14.7,1.,6.99999983236194)); +#32168=CARTESIAN_POINT('Origin',(14.7,0.99,6.99999983236194)); +#32169=CARTESIAN_POINT('Origin',(15.1000000055879,0.99,6.99999983236194)); +#32170=CARTESIAN_POINT('Origin',(14.7,1.,15.000000230968)); +#32171=CARTESIAN_POINT('',(14.7,1.,14.5000008195639)); +#32172=CARTESIAN_POINT('Origin',(14.7,1.,15.000000230968)); +#32173=CARTESIAN_POINT('',(14.7,0.99,14.5000008195639)); +#32174=CARTESIAN_POINT('Origin',(14.7,0.99,15.000000230968)); +#32175=CARTESIAN_POINT('',(14.7,1.,14.5000008195639)); +#32176=CARTESIAN_POINT('Origin',(14.7,1.,14.5000008195639)); +#32177=CARTESIAN_POINT('',(11.35,1.,14.5000008195639)); +#32178=CARTESIAN_POINT('',(16.,0.99,14.5000008195639)); +#32179=CARTESIAN_POINT('Origin',(15.1000002942979,0.99,15.000000230968)); +#32180=CARTESIAN_POINT('Origin',(1.3,1.,9.49999977648258)); +#32181=CARTESIAN_POINT('',(1.3,1.,9.49999977648258)); +#32182=CARTESIAN_POINT('',(4.65,1.,9.49999977648258)); +#32183=CARTESIAN_POINT('',(1.3,0.99,9.49999977648258)); +#32184=CARTESIAN_POINT('',(1.3,1.,9.49999977648258)); +#32185=CARTESIAN_POINT('',(3.06161692943591E-17,0.99,9.49999977648258)); +#32186=CARTESIAN_POINT('Origin',(1.3,1.,8.99999978765845)); +#32187=CARTESIAN_POINT('Origin',(1.3,1.,8.99999978765845)); +#32188=CARTESIAN_POINT('Origin',(1.3,0.99,8.99999978765845)); +#32189=CARTESIAN_POINT('Origin',(0.899999994412065,0.99,8.99999978765845)); +#32190=CARTESIAN_POINT('Origin',(1.3,1.,3.49999991059303)); +#32191=CARTESIAN_POINT('',(3.06161692943591E-17,1.,3.49999991059303)); +#32192=CARTESIAN_POINT('',(0.,0.5,3.49999991059303)); +#32193=CARTESIAN_POINT('',(1.3,1.,3.49999991059303)); +#32194=CARTESIAN_POINT('',(4.65,1.,3.49999991059303)); +#32195=CARTESIAN_POINT('',(1.3,0.99,3.49999991059303)); +#32196=CARTESIAN_POINT('',(1.3,1.,3.49999991059303)); +#32197=CARTESIAN_POINT('',(3.06161692943591E-17,0.99,3.49999991059303)); +#32198=CARTESIAN_POINT('Origin',(1.3,1.,2.9999999217689)); +#32199=CARTESIAN_POINT('Origin',(1.3,1.,2.9999999217689)); +#32200=CARTESIAN_POINT('Origin',(1.3,0.99,2.9999999217689)); +#32201=CARTESIAN_POINT('Origin',(0.899999994412065,0.99,2.9999999217689)); +#32202=CARTESIAN_POINT('Origin',(8.,1.,8.)); +#32203=CARTESIAN_POINT('',(16.,1.,16.)); +#32204=CARTESIAN_POINT('',(16.,1.,0.)); +#32205=CARTESIAN_POINT('',(0.,1.,0.)); +#32206=CARTESIAN_POINT('',(0.,1.,16.)); +#32207=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#32208=CARTESIAN_POINT('Origin',(16.,0.,0.)); +#32209=CARTESIAN_POINT('Origin',(16.,0.,16.)); +#32210=CARTESIAN_POINT('Origin',(0.,0.,16.)); +#32211=CARTESIAN_POINT('',(9.40000644246307,1.05,10.3192362515126)); +#32212=CARTESIAN_POINT('',(2.98999999966354,0.989999999999999,15.150000230968)); +#32213=CARTESIAN_POINT('',(5.30000000362251,1.275,7.60499999950215)); +#32214=CARTESIAN_POINT('',(5.15000000362251,1.275,10.2749999995022)); +#32215=CARTESIAN_POINT('',(10.5000000036225,1.275,5.67499999950215)); +#32216=CARTESIAN_POINT('',(2.82500000466072,1.275,10.2000000009948)); +#32217=CARTESIAN_POINT('',(4.57500000466071,1.275,9.25000000099481)); +#32218=CARTESIAN_POINT('',(12.8750000046607,1.275,14.8500000009948)); +#32219=CARTESIAN_POINT('',(5.52500000466071,1.275,4.45000000099481)); +#32220=CARTESIAN_POINT('',(6.42500000466071,1.275,3.95000000099481)); +#32221=CARTESIAN_POINT('',(6.95000000362251,1.275,1.12499999950215)); +#32222=CARTESIAN_POINT('',(12.3500000036225,1.275,1.72499999950215)); +#32223=CARTESIAN_POINT('',(8.00000000362251,1.275,5.62499999950215)); +#32224=CARTESIAN_POINT('',(6.75000000362251,1.275,14.4249999995022)); +#32225=CARTESIAN_POINT('',(12.0750000051152,1.275,3.34999999846395)); +#32226=CARTESIAN_POINT('',(9.52500000511516,1.275,3.69999999846395)); +#32227=CARTESIAN_POINT('',(4.22500000511516,1.275,9.24999999846396)); +#32228=CARTESIAN_POINT('',(4.22500000511516,1.275,10.899999998464)); +#32229=CARTESIAN_POINT('',(4.2999999998914,1.265,0.999999921661465)); +#32230=CARTESIAN_POINT('',(4.34999999133286,1.265,6.34999991916459)); +#32231=CARTESIAN_POINT('',(3.48999999133286,1.265,6.34999991916459)); +#32232=CARTESIAN_POINT('',(3.33999999133286,1.265,8.44999991916459)); +#32233=CARTESIAN_POINT('',(10.5000000147984,1.275,4.77499987656757)); +#32234=CARTESIAN_POINT('',(12.3500000147984,1.275,0.844999876567574)); +#32235=CARTESIAN_POINT('',(12.8250000158366,1.275,6.2499998780602)); +#32236=CARTESIAN_POINT('',(8.90000001479837,1.275,4.77499987656757)); +#32237=CARTESIAN_POINT('',(12.0750000158366,1.275,14.8499998780602)); +#32238=CARTESIAN_POINT('',(4.09999998882413,0.990493099317252,4.1140449079692)); +#32239=CARTESIAN_POINT('',(7.29999999552965,1.,4.1999999217689)); +#32240=CARTESIAN_POINT('',(8.54904253481953,0.999999999999993,6.4490424652252)); +#32241=CARTESIAN_POINT('',(8.54904253481953,0.999999999999998,2.94904246522519)); +#32242=CARTESIAN_POINT('',(11.0490425348195,0.999999999999985,2.9490424652252)); +#32243=CARTESIAN_POINT('',(12.4999999955296,0.999999999999999,2.7999999217689)); +#32244=CARTESIAN_POINT('',(9.84999998882413,0.990958907002103,1.72070626821006)); +#32245=CARTESIAN_POINT('Origin',(0.,0.,0.)); +#32246=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32247=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32248=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32249=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32250=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32251=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32252=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32253=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32254=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32255=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32256=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#32268, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#32257=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32246)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32258=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32247)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32259=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32248)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32260=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32249)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32261=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32250)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32262=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32251)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32263=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32252)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32264=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32253)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32265=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32254)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32266=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32255)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32267=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#32256)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#32268,#32270,#32271)) +REPRESENTATION_CONTEXT('','3D') +); +#32268=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#32269=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT($,.METRE.) +); +#32270=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#32271=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#32272=SHAPE_DEFINITION_REPRESENTATION(#32282,#32326); +#32273=SHAPE_DEFINITION_REPRESENTATION(#32283,#32327); +#32274=SHAPE_DEFINITION_REPRESENTATION(#32284,#32328); +#32275=SHAPE_DEFINITION_REPRESENTATION(#32285,#32329); +#32276=SHAPE_DEFINITION_REPRESENTATION(#32286,#32330); +#32277=SHAPE_DEFINITION_REPRESENTATION(#32287,#32331); +#32278=SHAPE_DEFINITION_REPRESENTATION(#32288,#32332); +#32279=SHAPE_DEFINITION_REPRESENTATION(#32289,#32333); +#32280=SHAPE_DEFINITION_REPRESENTATION(#32290,#32334); +#32281=SHAPE_DEFINITION_REPRESENTATION(#32291,#32335); +#32282=PRODUCT_DEFINITION_SHAPE('',$,#32337); +#32283=PRODUCT_DEFINITION_SHAPE('',$,#32338); +#32284=PRODUCT_DEFINITION_SHAPE('',$,#32339); +#32285=PRODUCT_DEFINITION_SHAPE('',$,#32340); +#32286=PRODUCT_DEFINITION_SHAPE('',$,#32341); +#32287=PRODUCT_DEFINITION_SHAPE('',$,#32342); +#32288=PRODUCT_DEFINITION_SHAPE('',$,#32343); +#32289=PRODUCT_DEFINITION_SHAPE('',$,#32344); +#32290=PRODUCT_DEFINITION_SHAPE('',$,#32345); +#32291=PRODUCT_DEFINITION_SHAPE('',$,#32346); +#32292=PRODUCT_DEFINITION_SHAPE($,$,#120); +#32293=PRODUCT_DEFINITION_SHAPE($,$,#121); +#32294=PRODUCT_DEFINITION_SHAPE($,$,#122); +#32295=PRODUCT_DEFINITION_SHAPE($,$,#123); +#32296=PRODUCT_DEFINITION_SHAPE($,$,#124); +#32297=PRODUCT_DEFINITION_SHAPE($,$,#125); +#32298=PRODUCT_DEFINITION_SHAPE($,$,#126); +#32299=PRODUCT_DEFINITION_SHAPE($,$,#127); +#32300=PRODUCT_DEFINITION_SHAPE($,$,#128); +#32301=PRODUCT_DEFINITION_SHAPE($,$,#129); +#32302=PRODUCT_DEFINITION_SHAPE($,$,#130); +#32303=PRODUCT_DEFINITION_SHAPE($,$,#131); +#32304=PRODUCT_DEFINITION_SHAPE($,$,#132); +#32305=PRODUCT_DEFINITION_SHAPE($,$,#133); +#32306=PRODUCT_DEFINITION_SHAPE($,$,#134); +#32307=PRODUCT_DEFINITION_SHAPE($,$,#135); +#32308=PRODUCT_DEFINITION_SHAPE($,$,#136); +#32309=PRODUCT_DEFINITION_SHAPE($,$,#137); +#32310=PRODUCT_DEFINITION_SHAPE($,$,#138); +#32311=PRODUCT_DEFINITION_SHAPE($,$,#139); +#32312=PRODUCT_DEFINITION_SHAPE($,$,#140); +#32313=PRODUCT_DEFINITION_SHAPE($,$,#141); +#32314=PRODUCT_DEFINITION_SHAPE($,$,#142); +#32315=PRODUCT_DEFINITION_SHAPE($,$,#143); +#32316=PRODUCT_DEFINITION_SHAPE($,$,#144); +#32317=PRODUCT_DEFINITION_SHAPE($,$,#145); +#32318=PRODUCT_DEFINITION_SHAPE($,$,#146); +#32319=PRODUCT_DEFINITION_SHAPE($,$,#147); +#32320=PRODUCT_DEFINITION_SHAPE($,$,#148); +#32321=PRODUCT_DEFINITION_SHAPE($,$,#149); +#32322=PRODUCT_DEFINITION_SHAPE($,$,#150); +#32323=PRODUCT_DEFINITION_SHAPE($,$,#151); +#32324=PRODUCT_DEFINITION_SHAPE($,$,#152); +#32325=PRODUCT_DEFINITION_SHAPE($,$,#153); +#32326=SHAPE_REPRESENTATION('',(#19376,#21043,#21044,#21045,#21046,#21047, +#21048,#21049,#21050,#21051,#21052,#21053,#21054,#21055,#21056,#21057,#21058, +#21059,#21060,#21061,#21062,#21063,#21064,#21065,#21066,#21067,#21068,#21069, +#21070,#21071,#21072,#21073,#21074,#21075,#21076),#32257); +#32327=SHAPE_REPRESENTATION('',(#19377),#32258); +#32328=SHAPE_REPRESENTATION('',(#19935),#32259); +#32329=SHAPE_REPRESENTATION('',(#20011),#32260); +#32330=SHAPE_REPRESENTATION('',(#20110),#32261); +#32331=SHAPE_REPRESENTATION('',(#20209),#32262); +#32332=SHAPE_REPRESENTATION('',(#20300),#32263); +#32333=SHAPE_REPRESENTATION('',(#20399),#32264); +#32334=SHAPE_REPRESENTATION('',(#20522),#32265); +#32335=SHAPE_REPRESENTATION('',(#20544),#32266); +#32336=PRODUCT_DEFINITION_CONTEXT('part definition',#32368,'design'); +#32337=PRODUCT_DEFINITION('RFM95W LoRa','RFM95W LoRa v15',#32347,#32336); +#32338=PRODUCT_DEFINITION('QFN 28','QFN 28 v2',#32348,#32336); +#32339=PRODUCT_DEFINITION('SMD Crystal','SMD Crystal v1',#32349,#32336); +#32340=PRODUCT_DEFINITION('CAP 0403','CAP 0403 v1',#32350,#32336); +#32341=PRODUCT_DEFINITION('CAP Ceramic 0403','CAP Ceramic 0403 v1',#32351, +#32336); +#32342=PRODUCT_DEFINITION('Res 0403','Res 0403 v1',#32352,#32336); +#32343=PRODUCT_DEFINITION('CAP ML 0403','CAP ML 0403 v1',#32353,#32336); +#32344=PRODUCT_DEFINITION('SOT-323','SOT-323 v1',#32354,#32336); +#32345=PRODUCT_DEFINITION('Inductor SMD 0403','Inductor SMD 0403 v1',#32355, +#32336); +#32346=PRODUCT_DEFINITION('SOT-363','SOT-363 v1',#32356,#32336); +#32347=PRODUCT_DEFINITION_FORMATION('',$,#32370); +#32348=PRODUCT_DEFINITION_FORMATION('',$,#32371); +#32349=PRODUCT_DEFINITION_FORMATION('',$,#32372); +#32350=PRODUCT_DEFINITION_FORMATION('',$,#32373); +#32351=PRODUCT_DEFINITION_FORMATION('',$,#32374); +#32352=PRODUCT_DEFINITION_FORMATION('',$,#32375); +#32353=PRODUCT_DEFINITION_FORMATION('',$,#32376); +#32354=PRODUCT_DEFINITION_FORMATION('',$,#32377); +#32355=PRODUCT_DEFINITION_FORMATION('',$,#32378); +#32356=PRODUCT_DEFINITION_FORMATION('',$,#32379); +#32357=PRODUCT_RELATED_PRODUCT_CATEGORY('RFM95W LoRa v15', +'RFM95W LoRa v15',(#32370)); +#32358=PRODUCT_RELATED_PRODUCT_CATEGORY('QFN 28 v2','QFN 28 v2',(#32371)); +#32359=PRODUCT_RELATED_PRODUCT_CATEGORY('SMD Crystal v1', +'SMD Crystal v1',(#32372)); +#32360=PRODUCT_RELATED_PRODUCT_CATEGORY('CAP 0403 v1','CAP 0403 v1',(#32373)); +#32361=PRODUCT_RELATED_PRODUCT_CATEGORY('CAP Ceramic 0403 v1', +'CAP Ceramic 0403 v1',(#32374)); +#32362=PRODUCT_RELATED_PRODUCT_CATEGORY('Res 0403 v1','Res 0403 v1',(#32375)); +#32363=PRODUCT_RELATED_PRODUCT_CATEGORY('CAP ML 0403 v1', +'CAP ML 0403 v1',(#32376)); +#32364=PRODUCT_RELATED_PRODUCT_CATEGORY('SOT-323 v1','SOT-323 v1',(#32377)); +#32365=PRODUCT_RELATED_PRODUCT_CATEGORY('Inductor SMD 0403 v1', +'Inductor SMD 0403 v1',(#32378)); +#32366=PRODUCT_RELATED_PRODUCT_CATEGORY('SOT-363 v1','SOT-363 v1',(#32379)); +#32367=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2009,#32368); +#32368=APPLICATION_CONTEXT( +'Core Data for Automotive Mechanical Design Process'); +#32369=PRODUCT_CONTEXT('part definition',#32368,'mechanical'); +#32370=PRODUCT('RFM95W LoRa','RFM95W LoRa v15',$,(#32369)); +#32371=PRODUCT('QFN 28','QFN 28 v2',$,(#32369)); +#32372=PRODUCT('SMD Crystal','SMD Crystal v1',$,(#32369)); +#32373=PRODUCT('CAP 0403','CAP 0403 v1',$,(#32369)); +#32374=PRODUCT('CAP Ceramic 0403','CAP Ceramic 0403 v1',$,(#32369)); +#32375=PRODUCT('Res 0403','Res 0403 v1',$,(#32369)); +#32376=PRODUCT('CAP ML 0403','CAP ML 0403 v1',$,(#32369)); +#32377=PRODUCT('SOT-323','SOT-323 v1',$,(#32369)); +#32378=PRODUCT('Inductor SMD 0403','Inductor SMD 0403 v1',$,(#32369)); +#32379=PRODUCT('SOT-363','SOT-363 v1',$,(#32369)); +#32380=PRESENTATION_STYLE_ASSIGNMENT((#32400)); +#32381=PRESENTATION_STYLE_ASSIGNMENT((#32401)); +#32382=PRESENTATION_STYLE_ASSIGNMENT((#32402)); +#32383=PRESENTATION_STYLE_ASSIGNMENT((#32403)); +#32384=PRESENTATION_STYLE_ASSIGNMENT((#32404)); +#32385=PRESENTATION_STYLE_ASSIGNMENT((#32405)); +#32386=PRESENTATION_STYLE_ASSIGNMENT((#32406)); +#32387=PRESENTATION_STYLE_ASSIGNMENT((#32407)); +#32388=PRESENTATION_STYLE_ASSIGNMENT((#32408)); +#32389=PRESENTATION_STYLE_ASSIGNMENT((#32409)); +#32390=PRESENTATION_STYLE_ASSIGNMENT((#32410)); +#32391=PRESENTATION_STYLE_ASSIGNMENT((#32411)); +#32392=PRESENTATION_STYLE_ASSIGNMENT((#32412)); +#32393=PRESENTATION_STYLE_ASSIGNMENT((#32413)); +#32394=PRESENTATION_STYLE_ASSIGNMENT((#32414)); +#32395=PRESENTATION_STYLE_ASSIGNMENT((#32415)); +#32396=PRESENTATION_STYLE_ASSIGNMENT((#32416)); +#32397=PRESENTATION_STYLE_ASSIGNMENT((#32417)); +#32398=PRESENTATION_STYLE_ASSIGNMENT((#32418)); +#32399=PRESENTATION_STYLE_ASSIGNMENT((NULL_STYLE(.NULL.))); +#32400=SURFACE_STYLE_USAGE(.BOTH.,#32419); +#32401=SURFACE_STYLE_USAGE(.BOTH.,#32420); +#32402=SURFACE_STYLE_USAGE(.BOTH.,#32421); +#32403=SURFACE_STYLE_USAGE(.BOTH.,#32422); +#32404=SURFACE_STYLE_USAGE(.BOTH.,#32423); +#32405=SURFACE_STYLE_USAGE(.BOTH.,#32424); +#32406=SURFACE_STYLE_USAGE(.BOTH.,#32425); +#32407=SURFACE_STYLE_USAGE(.BOTH.,#32426); +#32408=SURFACE_STYLE_USAGE(.BOTH.,#32427); +#32409=SURFACE_STYLE_USAGE(.BOTH.,#32428); +#32410=SURFACE_STYLE_USAGE(.BOTH.,#32429); +#32411=SURFACE_STYLE_USAGE(.BOTH.,#32430); +#32412=SURFACE_STYLE_USAGE(.BOTH.,#32431); +#32413=SURFACE_STYLE_USAGE(.BOTH.,#32432); +#32414=SURFACE_STYLE_USAGE(.BOTH.,#32433); +#32415=SURFACE_STYLE_USAGE(.BOTH.,#32434); +#32416=SURFACE_STYLE_USAGE(.BOTH.,#32435); +#32417=SURFACE_STYLE_USAGE(.BOTH.,#32436); +#32418=SURFACE_STYLE_USAGE(.BOTH.,#32437); +#32419=SURFACE_SIDE_STYLE('',(#32438)); +#32420=SURFACE_SIDE_STYLE('',(#32439)); +#32421=SURFACE_SIDE_STYLE('',(#32440)); +#32422=SURFACE_SIDE_STYLE('',(#32441)); +#32423=SURFACE_SIDE_STYLE('',(#32442)); +#32424=SURFACE_SIDE_STYLE('',(#32443)); +#32425=SURFACE_SIDE_STYLE('',(#32444)); +#32426=SURFACE_SIDE_STYLE('',(#32445)); +#32427=SURFACE_SIDE_STYLE('',(#32446)); +#32428=SURFACE_SIDE_STYLE('',(#32447)); +#32429=SURFACE_SIDE_STYLE('',(#32448)); +#32430=SURFACE_SIDE_STYLE('',(#32449)); +#32431=SURFACE_SIDE_STYLE('',(#32450)); +#32432=SURFACE_SIDE_STYLE('',(#32451)); +#32433=SURFACE_SIDE_STYLE('',(#32452)); +#32434=SURFACE_SIDE_STYLE('',(#32453)); +#32435=SURFACE_SIDE_STYLE('',(#32454)); +#32436=SURFACE_SIDE_STYLE('',(#32455)); +#32437=SURFACE_SIDE_STYLE('',(#32456)); +#32438=SURFACE_STYLE_FILL_AREA(#32457); +#32439=SURFACE_STYLE_FILL_AREA(#32458); +#32440=SURFACE_STYLE_FILL_AREA(#32459); +#32441=SURFACE_STYLE_FILL_AREA(#32460); +#32442=SURFACE_STYLE_FILL_AREA(#32461); +#32443=SURFACE_STYLE_FILL_AREA(#32462); +#32444=SURFACE_STYLE_FILL_AREA(#32463); +#32445=SURFACE_STYLE_FILL_AREA(#32464); +#32446=SURFACE_STYLE_FILL_AREA(#32465); +#32447=SURFACE_STYLE_FILL_AREA(#32466); +#32448=SURFACE_STYLE_FILL_AREA(#32467); +#32449=SURFACE_STYLE_FILL_AREA(#32468); +#32450=SURFACE_STYLE_FILL_AREA(#32469); +#32451=SURFACE_STYLE_FILL_AREA(#32470); +#32452=SURFACE_STYLE_FILL_AREA(#32471); +#32453=SURFACE_STYLE_FILL_AREA(#32472); +#32454=SURFACE_STYLE_FILL_AREA(#32473); +#32455=SURFACE_STYLE_FILL_AREA(#32474); +#32456=SURFACE_STYLE_FILL_AREA(#32475); +#32457=FILL_AREA_STYLE('Steel - Satin',(#32476)); +#32458=FILL_AREA_STYLE('Aluminum - Anodized Glossy (Grey)',(#32477)); +#32459=FILL_AREA_STYLE('Gold - Polished',(#32478)); +#32460=FILL_AREA_STYLE('Plastic - Matte (Green)',(#32479)); +#32461=FILL_AREA_STYLE('Opaque(63,63,63)',(#32480)); +#32462=FILL_AREA_STYLE('Paint - Enamel Glossy (White)',(#32481)); +#32463=FILL_AREA_STYLE('Opaque(25,25,25)',(#32482)); +#32464=FILL_AREA_STYLE('Opaque(244,229,167)',(#32483)); +#32465=FILL_AREA_STYLE('Opaque(203,203,203)',(#32484)); +#32466=FILL_AREA_STYLE('Opaque(144,144,144)',(#32485)); +#32467=FILL_AREA_STYLE('Opaque(123,97,74)',(#32486)); +#32468=FILL_AREA_STYLE('Opaque(211,212,213)',(#32487)); +#32469=FILL_AREA_STYLE('Opaque(123,97,74)',(#32488)); +#32470=FILL_AREA_STYLE('Opaque(80,79,75)',(#32489)); +#32471=FILL_AREA_STYLE('Opaque(144,144,144)',(#32490)); +#32472=FILL_AREA_STYLE('Opaque(26,26,26)',(#32491)); +#32473=FILL_AREA_STYLE('Opaque(211,214,211)',(#32492)); +#32474=FILL_AREA_STYLE('Plastic - Glossy (White)',(#32493)); +#32475=FILL_AREA_STYLE('Plastic - Glossy (Red)',(#32494)); +#32476=FILL_AREA_STYLE_COLOUR('Steel - Satin',#32495); +#32477=FILL_AREA_STYLE_COLOUR('Aluminum - Anodized Glossy (Grey)',#32496); +#32478=FILL_AREA_STYLE_COLOUR('Gold - Polished',#32497); +#32479=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Green)',#32498); +#32480=FILL_AREA_STYLE_COLOUR('Opaque(63,63,63)',#32499); +#32481=FILL_AREA_STYLE_COLOUR('Paint - Enamel Glossy (White)',#32500); +#32482=FILL_AREA_STYLE_COLOUR('Opaque(25,25,25)',#32501); +#32483=FILL_AREA_STYLE_COLOUR('Opaque(244,229,167)',#32502); +#32484=FILL_AREA_STYLE_COLOUR('Opaque(203,203,203)',#32503); +#32485=FILL_AREA_STYLE_COLOUR('Opaque(144,144,144)',#32504); +#32486=FILL_AREA_STYLE_COLOUR('Opaque(123,97,74)',#32505); +#32487=FILL_AREA_STYLE_COLOUR('Opaque(211,212,213)',#32506); +#32488=FILL_AREA_STYLE_COLOUR('Opaque(123,97,74)',#32507); +#32489=FILL_AREA_STYLE_COLOUR('Opaque(80,79,75)',#32508); +#32490=FILL_AREA_STYLE_COLOUR('Opaque(144,144,144)',#32509); +#32491=FILL_AREA_STYLE_COLOUR('Opaque(26,26,26)',#32510); +#32492=FILL_AREA_STYLE_COLOUR('Opaque(211,214,211)',#32511); +#32493=FILL_AREA_STYLE_COLOUR('Plastic - Glossy (White)',#32512); +#32494=FILL_AREA_STYLE_COLOUR('Plastic - Glossy (Red)',#32513); +#32495=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157); +#32496=COLOUR_RGB('Aluminum - Anodized Glossy (Grey)',0.537254901960784, +0.537254901960784,0.537254901960784); +#32497=COLOUR_RGB('Gold - Polished',0.956862745098039,0.898039215686275, +0.654901960784314); +#32498=COLOUR_RGB('Plastic - Matte (Green)',0.0274509803921569,0.490196078431373, +0.294117647058824); +#32499=COLOUR_RGB('Opaque(63,63,63)',0.250980392156863,0.250980392156863, +0.250980392156863); +#32500=COLOUR_RGB('Paint - Enamel Glossy (White)',0.964705882352941,0.964705882352941, +0.952941176470588); +#32501=COLOUR_RGB('Opaque(25,25,25)',0.0980392156862745,0.0980392156862745, +0.0980392156862745); +#32502=COLOUR_RGB('Opaque(244,229,167)',0.956862745098039,0.898039215686275, +0.654901960784314); +#32503=COLOUR_RGB('Opaque(203,203,203)',0.796078431372549,0.796078431372549, +0.796078431372549); +#32504=COLOUR_RGB('Opaque(144,144,144)',0.564705882352941,0.564705882352941, +0.564705882352941); +#32505=COLOUR_RGB('Opaque(123,97,74)',0.482352941176471,0.380392156862745, +0.290196078431373); +#32506=COLOUR_RGB('Opaque(211,212,213)',0.827450980392157,0.831372549019608, +0.835294117647059); +#32507=COLOUR_RGB('Opaque(123,97,74)',0.505882352941176,0.505882352941176, +0.505882352941176); +#32508=COLOUR_RGB('Opaque(80,79,75)',0.313725490196078,0.309803921568627, +0.294117647058824); +#32509=COLOUR_RGB('Opaque(144,144,144)',0.72156862745098,0.666666666666667, +0.698039215686274); +#32510=COLOUR_RGB('Opaque(26,26,26)',0.101960784313725,0.101960784313725, +0.101960784313725); +#32511=COLOUR_RGB('Opaque(211,214,211)',0.827450980392157,0.83921568627451, +0.827450980392157); +#32512=COLOUR_RGB('Plastic - Glossy (White)',0.964705882352941,0.964705882352941, +0.952941176470588); +#32513=COLOUR_RGB('Plastic - Glossy (Red)',0.768627450980392,0.207843137254902, +0.152941176470588); +ENDSEC; +END-ISO-10303-21;